diff --git a/.clang-format b/.clang-format index 9f6a8cce50e..1a051c38124 100644 --- a/.clang-format +++ b/.clang-format @@ -32,6 +32,7 @@ BraceWrapping: BeforeCatch: true BeforeElse: true IndentBraces: false +InsertBraces: true BreakBeforeBinaryOperators: NonAssignment BreakBeforeBraces: Custom # BreakBeforeInheritanceComma: false @@ -90,7 +91,7 @@ SpacesInContainerLiterals: false SpacesInCStyleCastParentheses: false SpacesInParentheses: false SpacesInSquareBrackets: false -Standard: Cpp11 +Standard: Cpp17 TabWidth: 4 UseTab: Never ... diff --git a/CHANGELOG.md b/CHANGELOG.md index 384569c1762..977c7f9b9f2 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -36,6 +36,7 @@ All notable changes to this project will be documented in this file. * added extended gate library picker when importing a netlist * added keyboard shortcut for delete-item action from toolbar * added parameter `force_name` to enforce pin (group) renaming to `Module::set_pin_name`, `Module::set_pin_group_name`, `Module::create_pin`, and `Module::create_pin_group` + * added Python bindings for `GateLibrary::create_gate_type` (cannot handle `GateTypeComponent` parameter as pybind cannot accept `std::unique_ptr` as parameter) * changed supported input file formats for import from hard coded list to list provided by loadable parser plugins * changed behavior of import netlist dialog, suggest only non-existing directory names and loop until an acceptable name was entered * changed appearance and behavior of import project dialog, make sure existing hal projects don't get overwritten diff --git a/CMakeLists.txt b/CMakeLists.txt index bc46cb48e34..f8b0b8ccf99 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -67,13 +67,6 @@ option(BUILD_TESTS "Enable test builds" OFF) option(BUILD_COVERAGE "Enable code coverage build" OFF) option(BUILD_DOCUMENTATION "Create and install the HTML based API documentation") option(ENABLE_INSTALL_LDCONFIG "When installing via make/ninja install, also install and run the LDCONFIG post_install scripts" ON) -option(UPLOAD_PPA "Upload package to ppa" OFF) -option(PACKAGE_DEB "Package DEB Package" OFF) -option(ENABLE_PPA "Prepare PPA" ON) -option(PACKAGE_TGZ "Package TGZ archive" OFF) -option(PACKAGE_ZIP "Package ZIP archive" OFF) -option(PACKAGE_RPM "Package RPM package" OFF) -option(PACKAGE_MACOS "Package for macOS" OFF) # Include Helper Modules include(hal_cmake_tools) # Version helper @@ -112,13 +105,9 @@ project(hal set(PROJECT_VENDOR "hal") set(PROJECT_WEBSITE "https://github.com/emsec/hal") set(PROJECT_MAINTAINER "Sebastian Wallat ") -set(PROJECT_DESCRIPTION_SUMMARY "Hardware Reverse engineering framework") +set(PROJECT_DESCRIPTION_SUMMARY "Hardware reverse engineering framework") set(PROJECT_DESCRIPTION "hal - Hardware Analyzer") -# set(CHANGELOG_MESSAGE ${CHANGELOG_LAST_MESSAGE}) -set(PROJECT_PPA "ppa:sebastian-wallat/hal") -set(PROJECT_PPA_USER "sebastian-wallat") - # Use C11 and C++17 as minimum standard set(CMAKE_C_STANDARD 11) set(CMAKE_C_STANDARD_REQUIRED on) @@ -192,10 +181,11 @@ if(UNIX) SET(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -pthread") SET(CMAKE_EXE_LINKER_FLAGS "${CMAKE_EXE_LINKER_FLAGS} -pthread") - # set (CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -fno-omit-frame-pointer -fsanitize=address") + # set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -fno-omit-frame-pointer -fsanitize=thread -fsanitize=undefined") + + # SET(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -fsanitize=thread -fsanitize=undefined") + # SET(CMAKE_EXE_LINKER_FLAGS "${CMAKE_EXE_LINKER_FLAGS} -fsanitize=thread -fsanitize=undefined") - # SET(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -fsanitize=address") - # SET(CMAKE_EXE_LINKER_FLAGS "${CMAKE_EXE_LINKER_FLAGS} -fsanitize=address") if(USE_LIBCXX) enable_cxx_compile_option_if_supported("-stdlib=libc++" "" "PUBLIC") enable_c_compile_option_if_supported("-stdlib=libc++" "" "PUBLIC") @@ -329,7 +319,6 @@ install(DIRECTORY ${CMAKE_SOURCE_DIR}/deps/pybind11 DESTINATION ${HAL_CMAKECONFI install(DIRECTORY ${CMAKE_SOURCE_DIR}/deps/spdlog-${spdlog_VERSION} DESTINATION ${HAL_CMAKECONFIG_INSTALL_DIR}) install(DIRECTORY ${CMAKE_SOURCE_DIR}/deps/subprocess DESTINATION ${HAL_CMAKECONFIG_INSTALL_DIR}) - if(NOT(CMAKE_VERSION VERSION_LESS 3.0)) install(EXPORT hal FILE halTargets.cmake diff --git a/documentation/sphinx_doc/netlist_traversal_decorator.rst b/documentation/sphinx_doc/netlist_traversal_decorator.rst new file mode 100644 index 00000000000..389e434012c --- /dev/null +++ b/documentation/sphinx_doc/netlist_traversal_decorator.rst @@ -0,0 +1,7 @@ +Netlist Traversal Decorator +================================== + +.. autoclass:: hal_py.NetlistTraversalDecorator + :members: + + .. automethod:: __init__ \ No newline at end of file diff --git a/include/hal_core/doxy_groups.h b/include/hal_core/doxy_groups.h index e03a4da1747..681a8358e08 100644 --- a/include/hal_core/doxy_groups.h +++ b/include/hal_core/doxy_groups.h @@ -33,10 +33,15 @@ */ /** - * @defgroup pins Pins + * @defgroup decorators Decorators * @ingroup core */ +/** + * @defgroup pins Pins + * @ingroup netlist + */ + /** * @defgroup netlist_parser Netlist Parser * @ingroup netlist diff --git a/include/hal_core/netlist/boolean_function/solver.h b/include/hal_core/netlist/boolean_function/solver.h index c07426c945e..a6f3b908686 100644 --- a/include/hal_core/netlist/boolean_function/solver.h +++ b/include/hal_core/netlist/boolean_function/solver.h @@ -103,6 +103,15 @@ namespace hal */ Result query_local(const QueryConfig& config) const; + /** + * Queries a local SMT solver with the specified query configuration and the provided smt2 representation of the query. + * + * @param[in] config - The SMT solver query configuration. + * @param[in] smt2 - The SMT solver query as smt2 string. + * @returns OK() and the result on success, Err() otherwise. + */ + static Result query_local(const QueryConfig& config, std::string& smt2); + /** * Queries a remote SMT solver with the specified query configuration. * diff --git a/include/hal_core/netlist/boolean_function/types.h b/include/hal_core/netlist/boolean_function/types.h index a1328537ddf..39b95e6e045 100644 --- a/include/hal_core/netlist/boolean_function/types.h +++ b/include/hal_core/netlist/boolean_function/types.h @@ -70,7 +70,7 @@ namespace hal /// Controls whether the SMT query is performed on a local or a remote machine. bool local = true; /// Controls whether the SMT solver should generate a model in case formula is satisfiable. - bool generate_model = true; + bool generate_model = false; /// The timeout after which the SMT solver is killed in seconds. u64 timeout_in_seconds = 10; diff --git a/include/hal_core/netlist/decorators/boolean_function_decorator.h b/include/hal_core/netlist/decorators/boolean_function_decorator.h index 3b427c7057b..23aed4e88a6 100644 --- a/include/hal_core/netlist/decorators/boolean_function_decorator.h +++ b/include/hal_core/netlist/decorators/boolean_function_decorator.h @@ -33,6 +33,11 @@ namespace hal { + /** + * A Boolean function decorator that provides functionality to operate on the associated Boolean function. + * + * @ingroup decorators + */ class NETLIST_API BooleanFunctionDecorator { public: diff --git a/include/hal_core/netlist/decorators/boolean_function_net_decorator.h b/include/hal_core/netlist/decorators/boolean_function_net_decorator.h index f0ca329953c..31db4785554 100644 --- a/include/hal_core/netlist/decorators/boolean_function_net_decorator.h +++ b/include/hal_core/netlist/decorators/boolean_function_net_decorator.h @@ -31,6 +31,11 @@ namespace hal { + /** + * A net decorator that provides functionality to translate between nets and Boolean function variables. + * + * @ingroup decorators + */ class NETLIST_API BooleanFunctionNetDecorator { public: diff --git a/include/hal_core/netlist/decorators/netlist_modification_decorator.h b/include/hal_core/netlist/decorators/netlist_modification_decorator.h index ef9cb5df781..c402d89d1d7 100644 --- a/include/hal_core/netlist/decorators/netlist_modification_decorator.h +++ b/include/hal_core/netlist/decorators/netlist_modification_decorator.h @@ -32,6 +32,11 @@ namespace hal { + /** + * A netlist decorator that provides functionality to modify the associated netlist. + * + * @ingroup decorators + */ class NETLIST_API NetlistModificationDecorator { public: @@ -86,6 +91,22 @@ namespace hal */ Result connect_nets(Net* master_net, Net* slave_net); + /** + * Searches for a GND net in the netlist. + * If there are no existing ones then the function will try to search for a GND gate type in the gate library and create a GND net with corresponding gate source. + * + * @returns A vector containing the either newly created or all already existing GND nets. + */ + Result> create_gnd_net(); + + /** + * Searches for a VCC net in the netlist. + * If there are no existing ones then the function will try to search for a VCC gate type in the gate library and create a VCC net with corresponding gate source. + * + * @returns A vector containing the either newly created or all already existing VCC nets. + */ + Result> create_vcc_net(); + private: Netlist& m_netlist; }; diff --git a/include/hal_core/netlist/decorators/netlist_traversal_decorator.h b/include/hal_core/netlist/decorators/netlist_traversal_decorator.h new file mode 100644 index 00000000000..e8980b03309 --- /dev/null +++ b/include/hal_core/netlist/decorators/netlist_traversal_decorator.h @@ -0,0 +1,144 @@ +// MIT License +// +// Copyright (c) 2019 Ruhr University Bochum, Chair for Embedded Security. All Rights reserved. +// Copyright (c) 2019 Marc Fyrbiak, Sebastian Wallat, Max Hoffmann ("ORIGINAL AUTHORS"). All rights reserved. +// Copyright (c) 2021 Max Planck Institute for Security and Privacy. All Rights reserved. +// Copyright (c) 2021 Jörn Langheinrich, Julian Speith, Nils Albartus, René Walendy, Simon Klix ("ORIGINAL AUTHORS"). All Rights reserved. +// +// Permission is hereby granted, free of charge, to any person obtaining a copy +// of this software and associated documentation files (the "Software"), to deal +// in the Software without restriction, including without limitation the rights +// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +// copies of the Software, and to permit persons to whom the Software is +// furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all +// copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +// SOFTWARE. + +#pragma once + +#include "hal_core/defines.h" +#include "hal_core/netlist/netlist.h" +#include "hal_core/utilities/result.h" + +namespace hal +{ + /** + * A netlist decorator that provides functionality to traverse the associated netlist without making any modifications. + * + * @ingroup decorators + */ + class NETLIST_API NetlistTraversalDecorator + { + public: + /** + * Construct new NetlistTraversalDecorator object. + * + * @param[in] netlist - The netlist to operate on. + */ + NetlistTraversalDecorator(const Netlist& netlist); + + /** + * Starting from the given net, traverse the netlist and return only the successor/predecessor gates for which the `target_gate_filter` evaluates to `true`. + * Stop traversal if (1) the `target_gate_filter` evaluates to `true`, (2) the `exit_endpoint_filter` evaluates to `false` on a fan-in/out endpoint (i.e., when exiting the current gate during traversal), or (3) the `entry_endpoint_filter` evaluates to `false` on a successor/predecessor endpoint (i.e., when entering the next gate during traversal). + * Both the `entry_endpoint_filter` and the `exit_endpoint_filter` may be omitted. + * + * @param[in] net - Start net. + * @param[in] successors - Set `true` to get successors, set `false` to get predecessors. + * @param[in] target_gate_filter - Filter condition that must be met for the target gates. + * @param[in] exit_endpoint_filter - Filter condition that determines whether to stop traversal on a fan-in/out endpoint. + * @param[in] entry_endpoint_filter - Filter condition that determines whether to stop traversal on a successor/predecessor endpoint. + * @returns The next gates fulfilling the target gate filter condition. + */ + Result> get_next_gates(const Net* net, + bool successors, + const std::function& target_gate_filter, + const std::function& exit_endpoint_filter = nullptr, + const std::function& entry_endpoint_filter = nullptr) const; + + /** + * Starting from the given gate, traverse the netlist and return only the successor/predecessor gates for which the `target_gate_filter` evaluates to `true`. + * Stop traversal if (1) the `target_gate_filter` evaluates to `true`, (2) the `exit_endpoint_filter` evaluates to `false` on a fan-in/out endpoint (i.e., when exiting the current gate during traversal), or (3) the `entry_endpoint_filter` evaluates to `false` on a successor/predecessor endpoint (i.e., when entering the next gate during traversal). + * Both the `entry_endpoint_filter` and the `exit_endpoint_filter` may be omitted. + * + * @param[in] gate - Start gate. + * @param[in] successors - Set `true` to get successors, set `false` to get predecessors. + * @param[in] target_gate_filter - Filter condition that must be met for the target gates. + * @param[in] exit_endpoint_filter - Filter condition that determines whether to stop traversal on a fan-in/out endpoint. + * @param[in] entry_endpoint_filter - Filter condition that determines whether to stop traversal on a successor/predecessor endpoint. + * @returns The next gates fulfilling the target gate filter condition. + */ + Result> get_next_gates(const Gate* gate, + bool successors, + const std::function& target_gate_filter, + const std::function& exit_endpoint_filter = nullptr, + const std::function& entry_endpoint_filter = nullptr) const; + + /** + * Starting from the given net, traverse the netlist and return only the successor/predecessor gates for which the `target_gate_filter` evaluates to `true`. + * Stop traversal if (1) the `exit_endpoint_filter` evaluates to `false` on a fan-in/out endpoint (i.e., when exiting the current gate during traversal), or (2) the `entry_endpoint_filter` evaluates to `false` on a successor/predecessor endpoint (i.e., when entering the next gate during traversal). + * The target_gate_filter may be ommitted in which case all traversed gates will be returned. + * Both `entry_endpoint_filter` and the `exit_endpoint_filter` may be omitted as well. + * + * @param[in] net - Start net. + * @param[in] successors - Set `true` to get successors, set `false` to get predecessors. + * @param[in] target_gate_filter - Filter condition that must be met for the target gates. + * @param[in] exit_endpoint_filter - Filter condition that determines whether to stop traversal on a fan-in/out endpoint. + * @param[in] entry_endpoint_filter - Filter condition that determines whether to stop traversal on a successor/predecessor endpoint. + * @returns The next gates fulfilling the target gate filter condition. + */ + Result> get_subgraph_gates(const Net* net, + bool successors, + const std::function& target_gate_filter = nullptr, + const std::function& exit_endpoint_filter = nullptr, + const std::function& entry_endpoint_filter = nullptr) const; + + /** + * Starting from the given gate, traverse the netlist and return only the successor/predecessor gates for which the `target_gate_filter` evaluates to `true`. + * Stop traversal if (1) the `exit_endpoint_filter` evaluates to `false` on a fan-in/out endpoint (i.e., when exiting the current gate during traversal), or (2) the `entry_endpoint_filter` evaluates to `false` on a successor/predecessor endpoint (i.e., when entering the next gate during traversal). + * The target_gate_filter may be ommitted in which case all traversed gates will be returned. + * Both `entry_endpoint_filter` and the `exit_endpoint_filter` may be omitted as well. + * + * @param[in] gate - Start gate. + * @param[in] successors - Set `true` to get successors, set `false` to get predecessors. + * @param[in] target_gate_filter - Filter condition that must be met for the target gates. + * @param[in] exit_endpoint_filter - Filter condition that determines whether to stop traversal on a fan-in/out endpoint. + * @param[in] entry_endpoint_filter - Filter condition that determines whether to stop traversal on a successor/predecessor endpoint. + * @returns The next gates fulfilling the target gate filter condition. + */ + Result> get_subgraph_gates(const Gate* gate, + bool successors, + const std::function& target_gate_filter = nullptr, + const std::function& exit_endpoint_filter = nullptr, + const std::function& entry_endpoint_filter = nullptr) const; + + /** + * TODO document + */ + Result> get_subgraph_input_nets(const Net* net, + bool successors, + const std::function& target_net_filter, + const std::function& exit_endpoint_filter = nullptr, + const std::function& entry_endpoint_filter = nullptr) const; + + /** + * TODO document + */ + Result> get_subgraph_input_nets(const Gate* gate, + bool successors, + const std::function& target_net_filter, + const std::function& exit_endpoint_filter = nullptr, + const std::function& entry_endpoint_filter = nullptr) const; + + private: + const Netlist& m_netlist; + }; +} // namespace hal \ No newline at end of file diff --git a/include/hal_core/netlist/decorators/subgraph_netlist_decorator.h b/include/hal_core/netlist/decorators/subgraph_netlist_decorator.h index 37704053d60..85d3c5c1291 100644 --- a/include/hal_core/netlist/decorators/subgraph_netlist_decorator.h +++ b/include/hal_core/netlist/decorators/subgraph_netlist_decorator.h @@ -27,11 +27,17 @@ #include "hal_core/defines.h" #include "hal_core/netlist/boolean_function.h" +#include "hal_core/netlist/gate_library/gate_library.h" #include "hal_core/netlist/netlist.h" #include "hal_core/utilities/result.h" namespace hal { + /** + * A netlist decorator that operates on an existing subgraph of the associated netlist to, e.g., copy the subgraph as a new netlist object or compute a Boolean function describing the subgraph. + * + * @ingroup decorators + */ class NETLIST_API SubgraphNetlistDecorator { public: @@ -46,25 +52,28 @@ namespace hal * Get a deep copy of a netlist subgraph including all of its gates and nets, but excluding modules and groupings. * * @param[in] subgraph_gates - The gates making up the subgraph that shall be copied from the netlist. + * @param[in] outside_conncetions_as_global_io - Option determining what nets are considered as global inout. Either only nets with no source or destination or all nets that lost any conneciton to a source or destination. * @return The copied subgraph netlist on success, an error otherwise. */ - Result> copy_subgraph_netlist(const std::vector& subgraph_gates) const; + Result> copy_subgraph_netlist(const std::vector& subgraph_gates, const bool outside_conncetions_as_global_io) const; /** * Get a deep copy of a netlist subgraph including all of its gates and nets, but excluding modules and groupings. * * @param[in] subgraph_gates - The gates making up the subgraph that shall be copied from the netlist. + * @param[in] outside_conncetions_as_global_io - Option determining what nets are considered as global inout. Either only nets with no source or destination or all nets that lost any conneciton to a source or destination. * @return The copied subgraph netlist on success, an error otherwise. */ - Result> copy_subgraph_netlist(const std::vector& subgraph_gates) const; + Result> copy_subgraph_netlist(const std::vector& subgraph_gates, const bool outside_conncetions_as_global_io) const; /** * Get a deep copy of a netlist subgraph including all of its gates and nets, but excluding modules and groupings. * * @param[in] subgraph_module - The module making up the subgraph that shall be copied from the netlist. + * @param[in] outside_conncetions_as_global_io - Option determining what nets are considered as global inout. Either only nets with no source or destination or all nets that lost any conneciton to a source or destination. * @return The copied subgraph netlist on success, an error otherwise. */ - Result> copy_subgraph_netlist(const Module* subgraph_module) const; + Result> copy_subgraph_netlist(const Module* subgraph_module, const bool outside_conncetions_as_global_io) const; /** * Get the combined Boolean function of a subgraph of combinational gates starting at the source of the provided subgraph output net. diff --git a/include/hal_core/netlist/gate.h b/include/hal_core/netlist/gate.h index 6df2968b41c..299b74b1ddb 100644 --- a/include/hal_core/netlist/gate.h +++ b/include/hal_core/netlist/gate.h @@ -231,7 +231,7 @@ namespace hal * @param[in] pin - The output pin. * @returns The Boolean function on success, an error otherwise. */ - Result get_resolved_boolean_function(const GatePin* pin) const; + Result get_resolved_boolean_function(const GatePin* pin, const bool stop_at_input_pins = false) const; /** * Add a Boolean function with the given name to the gate. diff --git a/include/hal_core/netlist/gate_library/gate_type.h b/include/hal_core/netlist/gate_library/gate_type.h index 17fcdf81893..d8aad1e6be1 100644 --- a/include/hal_core/netlist/gate_library/gate_type.h +++ b/include/hal_core/netlist/gate_library/gate_type.h @@ -443,6 +443,7 @@ namespace hal std::string m_name; std::set m_properties; std::unique_ptr m_component; + double m_area; // pins u32 m_next_pin_id; diff --git a/include/hal_core/netlist/net.h b/include/hal_core/netlist/net.h index 4cb0d14ca27..3b5985068fc 100644 --- a/include/hal_core/netlist/net.h +++ b/include/hal_core/netlist/net.h @@ -210,10 +210,12 @@ namespace hal /** * Get the number of sources of the net. + * The optional filter is evaluated on every candidate such that the result only contains those matching the specified condition. * + * @param[in] filter - An optional filter. * @returns The number of sources. */ - u32 get_num_of_sources() const; + u32 get_num_of_sources(const std::function& filter = nullptr) const; /** * Get a vector of sources of the net. @@ -318,10 +320,12 @@ namespace hal /** * Get the number of destinations of the net. + * The optional filter is evaluated on every candidate such that the result only contains those matching the specified condition. * + * @param[in] filter - An optional filter. * @returns The number of destinations. */ - u32 get_num_of_destinations() const; + u32 get_num_of_destinations(const std::function& filter = nullptr) const; /** * Get a vector of destinations of the net. diff --git a/include/hal_core/netlist/netlist.h b/include/hal_core/netlist/netlist.h index 415db8badbf..fefaeaf2b01 100644 --- a/include/hal_core/netlist/netlist.h +++ b/include/hal_core/netlist/netlist.h @@ -208,7 +208,7 @@ namespace hal * @param[in] gate - The gate to check. * @returns True if the gate is in the netlist, false otherwise. */ - bool is_gate_in_netlist(Gate* gate) const; + bool is_gate_in_netlist(const Gate* gate) const; /** * Get the gate specified by the given ID. @@ -342,7 +342,7 @@ namespace hal * @param[in] net - The net to check. * @returns True if the net is in the netlist, false otherwise. */ - bool is_net_in_netlist(Net* net) const; + bool is_net_in_netlist(const Net* net) const; /** * Get the net specified by the given ID. @@ -438,6 +438,20 @@ namespace hal */ void enable_automatic_net_checks(bool enable_checks = true); + /** + * Get all GND nets in the netlist. + * + * @returns A vector nets. + */ + std::vector get_gnd_nets() const; + + /** + * Get all VCC nets in the netlist. + * + * @returns A vector of nets. + */ + std::vector get_vcc_nets() const; + /* * ################################################################ * module functions @@ -489,7 +503,7 @@ namespace hal * @param[in] module - The module to check. * @returns True if the module is in the netlist, false otherwise. */ - bool is_module_in_netlist(Module* module) const; + bool is_module_in_netlist(const Module* module) const; /** * Get the module specified by the given ID. @@ -568,7 +582,7 @@ namespace hal * @param[in] grouping - The grouping to check. * @returns True if the grouping is in the netlist, false otherwise. */ - bool is_grouping_in_netlist(Grouping* grouping) const; + bool is_grouping_in_netlist(const Grouping* grouping) const; /** * Get the grouping specified by the given ID. @@ -836,22 +850,22 @@ namespace hal /* stores the modules */ Module* m_top_module; std::unordered_map> m_modules_map; - std::unordered_set m_modules_set; + std::unordered_set m_modules_set; std::vector m_modules; /* stores the nets */ std::unordered_map> m_nets_map; - std::unordered_set m_nets_set; + std::unordered_set m_nets_set; std::vector m_nets; /* stores the gates */ std::unordered_map> m_gates_map; - std::unordered_set m_gates_set; + std::unordered_set m_gates_set; std::vector m_gates; /* stores the groupings */ std::unordered_map> m_groupings_map; - std::unordered_set m_groupings_set; + std::unordered_set m_groupings_set; std::vector m_groupings; /* stores the set of global gates and nets */ diff --git a/include/hal_core/netlist/netlist_factory.h b/include/hal_core/netlist/netlist_factory.h index 123135f2311..4d301d269a0 100644 --- a/include/hal_core/netlist/netlist_factory.h +++ b/include/hal_core/netlist/netlist_factory.h @@ -64,6 +64,15 @@ namespace hal */ NETLIST_API std::unique_ptr load_netlist(const std::filesystem::path& netlist_file, const std::filesystem::path& gate_library_file = std::filesystem::path()); + /** + * Create a netlist from the given file trying to parse it with the specified gate library. + * + * @param[in] netlist_file - Path to the netlist file. + * @param[in] gate_library - The gate library. + * @returns The netlist on success, nullptr otherwise. + */ + NETLIST_API std::unique_ptr load_netlist(const std::filesystem::path& netlist_file, GateLibrary* gate_library); + /** * Create a netlist from the given hal project. * diff --git a/include/hal_core/netlist/netlist_utils.h b/include/hal_core/netlist/netlist_utils.h index 4d1741f9ddb..7196dc0b354 100644 --- a/include/hal_core/netlist/netlist_utils.h +++ b/include/hal_core/netlist/netlist_utils.h @@ -127,6 +127,22 @@ namespace hal */ CORE_API std::vector get_next_gates(const Net* net, bool get_successors, int depth = 0, const std::function& filter = nullptr); + /** + * Find all sequential predecessors or successors of a gate. + * Traverses combinational logic of all input or output nets until sequential gates are found. + * The result may include the provided gate itself. + * The use of the this cached version is recommended in case of extensive usage to improve performance. + * The cache will be filled by this function and should initially be provided empty. + * Different caches for different values of get_successors shall be used. + * + * @param[in] gate - The initial gate. + * @param[in] get_successors - If true, sequential successors are returned, otherwise sequential predecessors are returned. + * @param[in] allowed_pin_types - Only returns gates which are reached through one of the pins whose types are in this vector. + * @param[inout] cache - The cache. + * @returns All sequential successors or predecessors of the gate. + */ + CORE_API std::vector get_next_sequential_gates(const Gate* gate, bool get_successors, const std::vector& allowed_pin_types, std::unordered_map>& cache); + /** * Find all sequential predecessors or successors of a gate. * Traverses combinational logic of all input or output nets until sequential gates are found. @@ -142,6 +158,37 @@ namespace hal */ CORE_API std::vector get_next_sequential_gates(const Gate* gate, bool get_successors, std::unordered_map>& cache); + /** + * Find all sequential predecessors or successors of a net. + * Traverses combinational logic of all input or output nets until sequential gates are found. + * The result may include the provided gate itself. + * The use of the this cached version is recommended in case of extensive usage to improve performance. + * The cache will be filled by this function and should initially be provided empty. + * Different caches for different values of get_successors shall be used. + * + * @param[in] gate - The initial gate. + * @param[in] get_successors - If true, sequential successors are returned, otherwise sequential predecessors are returned. + * @param[in] allowed_pin_types - Only returns gates which are reached through one of the pins whose types are in this vector. + * @param[inout] cache - The cache. + * @returns All sequential successors or predecessors of the gate. + */ + CORE_API std::vector get_next_sequential_gates(const Net* net, bool get_successors, const std::vector& allowed_pin_types, std::unordered_map>& cache); + + /** + * Find all sequential predecessors or successors of a net. + * Traverses combinational logic of all input or output nets until sequential gates are found. + * The result may include the provided gate itself. + * The use of the this cached version is recommended in case of extensive usage to improve performance. + * The cache will be filled by this function and should initially be provided empty. + * Different caches for different values of get_successors shall be used. + * + * @param[in] gate - The initial gate. + * @param[in] get_successors - If true, sequential successors are returned, otherwise sequential predecessors are returned. + * @param[inout] cache - The cache. + * @returns All sequential successors or predecessors of the gate. + */ + CORE_API std::vector get_next_sequential_gates(const Net* net, bool get_successors, std::unordered_map>& cache); + /** * Find all sequential predecessors or successors of a gate. * Traverses combinational logic of all input or output nets until sequential gates are found. @@ -177,6 +224,29 @@ namespace hal */ CORE_API std::vector get_next_sequential_gates(const Net* net, bool get_successors); + /** + * Find all sequential predecessors or successors of a gate. + * Traverses combinational logic of all input or output nets until sequential gates are found. + * The result may include the provided gate itself. + * + * @param[in] gate - The initial gate. + * @param[in] get_successors - If true, sequential successors are returned, otherwise sequential predecessors are returned. + * @param[in] allowed_pin_types - Only returns gates which are reached through one of the pins whose types are in this vector. + * @returns All sequential successors or predecessors of the gate. + */ + CORE_API std::vector get_next_sequential_gates(const Gate* gate, bool get_successors, const std::vector& allowed_pin_types); + + /** + * Find all sequential predecessors or successors of a net. + * Traverses combinational logic of all input or output nets until sequential gates are found. + * + * @param[in] net - The initial net. + * @param[in] get_successors - If true, sequential successors are returned, otherwise sequential predecessors are returned. + * @param[in] allowed_pin_types - Only returns gates which are reached through one of the pins whose types are in this vector. + * @returns All sequential successors or predecessors of the net. + */ + CORE_API std::vector get_next_sequential_gates(const Net* net, bool get_successors, const std::vector& allowed_pin_types); + /** * Find all gates on the predecessor or successor path of a gate. * Traverses all input or output nets until gates of the specified base types are found. @@ -286,6 +356,18 @@ namespace hal [[deprecated("Will be removed in a future version, use NetlistModificationDecorator::replace_gate instead.")]] CORE_API Result replace_gate(Gate* gate, GateType* target_type, std::map pin_map); + /** + * Identify carry chains in a given netlist. The user has to provide the input pin of the carry + * gates and output pin that will go into the subsequent gate. + * Note that this function relies on having annotated carry gates in the netlist to work. + * + * @param[in] nl - The netlist to detect the carry chains in. + * @param[in] input_pin - The input pin through which the carries must be connected. + * @param[in] output_pin - The output pin through which the carries must be connected. + * @returns A vector of vector of gates that form a chain on success, an error otherwise. + */ + CORE_API Result>> find_carry_chains(const Netlist* nl, const std::string input_pins, const std::string output_pins); + /** * Find a sequence of identical gates that are connected via the specified input and output pins. * The start gate may be any gate within a such a sequence, it is not required to be the first or the last gate. @@ -295,7 +377,6 @@ namespace hal * @param[in] start_gate - The gate at which to start the chain detection. * @param[in] input_pins - The input pins through which the gates must be connected. Defaults to an empty vector. * @param[in] output_pins - The output pins through which the gates must be connected. Defaults to an empty vector. - * @param[in] filter - An optional filter function to be evaluated on each gate. * @returns A vector of gates that form a chain on success, an error otherwise. */ CORE_API Result> get_gate_chain(Gate* start_gate, diff --git a/include/hal_core/python_bindings/python_bindings.h b/include/hal_core/python_bindings/python_bindings.h index bebfee4fb82..6523e0763e2 100644 --- a/include/hal_core/python_bindings/python_bindings.h +++ b/include/hal_core/python_bindings/python_bindings.h @@ -33,8 +33,9 @@ #include "hal_core/netlist/boolean_function/types.h" #include "hal_core/netlist/decorators/boolean_function_decorator.h" #include "hal_core/netlist/decorators/boolean_function_net_decorator.h" -#include "hal_core/netlist/decorators/subgraph_netlist_decorator.h" #include "hal_core/netlist/decorators/netlist_modification_decorator.h" +#include "hal_core/netlist/decorators/netlist_traversal_decorator.h" +#include "hal_core/netlist/decorators/subgraph_netlist_decorator.h" #include "hal_core/netlist/gate.h" #include "hal_core/netlist/gate_library/enums/async_set_reset_behavior.h" #include "hal_core/netlist/gate_library/gate_library.h" @@ -319,6 +320,13 @@ namespace hal */ void netlist_modification_decorator_init(py::module& m); + /** + * Initializes Python bindings for the HAL netlist traversal decorator in a python module. + * + * @param[in] m - the python module + */ + void netlist_traversal_decorator_init(py::module& m); + /** * Initializes Python bindings for the HAL LogManager in a python module. * diff --git a/include/hal_core/utilities/finite_set.h b/include/hal_core/utilities/finite_set.h new file mode 100644 index 00000000000..dc542e4cc3d --- /dev/null +++ b/include/hal_core/utilities/finite_set.h @@ -0,0 +1,262 @@ +// MIT License +// +// Copyright (c) 2019 Ruhr University Bochum, Chair for Embedded Security. All Rights reserved. +// Copyright (c) 2019 Marc Fyrbiak, Sebastian Wallat, Max Hoffmann ("ORIGINAL AUTHORS"). All rights reserved. +// Copyright (c) 2021 Max Planck Institute for Security and Privacy. All Rights reserved. +// Copyright (c) 2021 Jörn Langheinrich, Julian Speith, Nils Albartus, René Walendy, Simon Klix ("ORIGINAL AUTHORS"). All Rights reserved. +// +// Permission is hereby granted, free of charge, to any person obtaining a copy +// of this software and associated documentation files (the "Software"), to deal +// in the Software without restriction, including without limitation the rights +// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +// copies of the Software, and to permit persons to whom the Software is +// furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all +// copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +// SOFTWARE. + +#pragma once + +#include "hal_core/defines.h" + +#include +#include +#include + +namespace hal +{ + template + struct FiniteSet + { + FiniteSet(const std::vector* const data, const std::unordered_map* const data_to_index) : m_data(data), m_data_to_index(data_to_index) + { + m_size = m_data->size(); + m_content = std::vector(((m_size - 1) >> 6) + 1, 0); + } + + bool operator==(const FiniteSet& rhs) const + { + return m_content == rhs.m_content; + } + + bool operator<(const FiniteSet& rhs) const + { + return m_content < rhs.m_content; + } + + FiniteSet operator&(const FiniteSet& rhs) const + { + FiniteSet res(m_data, m_data_to_index); + for (u32 i = 0; i < m_content.size(); i++) + { + res.m_content.at(i) = m_content.at(i) & rhs.m_content.at(i); + } + return res; + } + + FiniteSet operator|(const FiniteSet& rhs) const + { + FiniteSet res(m_data, m_data_to_index); + for (u32 i = 0; i < m_content.size(); i++) + { + res.m_content.at(i) = m_content.at(i) | rhs.m_content.at(i); + } + return res; + } + + FiniteSet operator-(const FiniteSet& rhs) const + { + FiniteSet res(m_data, m_data_to_index); + for (u32 i = 0; i < m_content.size(); i++) + { + res.m_content.at(i) = m_content.at(i) & ~rhs.m_content.at(i); + } + return res; + } + + FiniteSet operator^(const FiniteSet& rhs) const + { + FiniteSet res(m_data, m_data_to_index); + for (u32 i = 0; i < m_content.size(); i++) + { + res.m_content.at(i) = m_content.at(i) ^ rhs.m_content.at(i); + } + return res; + } + + bool is_disjoint(const FiniteSet& rhs) const + { + for (u32 i = 0; i < m_content.size(); i++) + { + if ((m_content.at(i) & rhs.m_content.at(i)) != 0) + { + return false; + } + } + return true; + } + + bool is_subset(const FiniteSet& rhs) const + { + for (u32 i = 0; i < m_content.size(); i++) + { + if ((m_content.at(i) & rhs.m_content.at(i)) != m_content.at(i)) + { + return false; + } + } + return true; + } + + bool is_superset(const FiniteSet& rhs) const + { + for (u32 i = 0; i < m_content.size(); i++) + { + if ((m_content.at(i) & rhs.m_content.at(i)) != rhs.m_content.at(i)) + { + return false; + } + } + return true; + } + + bool insert(const u32 index) + { + if (index >= m_size) + { + return false; + } + + m_content.at(index >> 6) ^= (u64)1 << (index & 0x3F); + + return true; + } + + bool insert(const T& elem) + { + const auto it = m_data_to_index->find(elem); + if (it == m_data_to_index->end()) + { + return false; + } + + const u32 index = it->second; + m_content.at(index >> 6) ^= (u64)1 << (index & 0x3F); + return true; + } + + template + bool insert(InputIt begin, InputIt end) + { + for (InputIt it = begin; it != end; ++it) + { + if (!insert(*it)) + { + return false; + } + } + + return true; + } + + bool erase(const u32 index) + { + if (index >= m_size) + { + return false; + } + + m_content.at(index >> 6) &= ~((u64)1 << (index & 0x3F)); + + return true; + } + + bool erase(const T& elem) + { + const auto it = m_data_to_index->find(elem); + if (it == m_data_to_index->end()) + { + return false; + } + + const u32 index = it->second; + m_content.at(index >> 6) &= ~((u64)1 << (index & 0x3F)); + + return true; + } + + bool contains(const u32 index) + { + if (index >= m_size) + { + return false; + } + + return (m_content.at(index >> 6) & (u64)1 << (index & 0x3F)) != 0; + } + + bool contains(const T& elem) + { + const auto it = m_data_to_index->find(elem); + if (it == m_data_to_index->end()) + { + return false; + } + + const u32 index = it->second; + return (m_content.at(index >> 6) & (u64)1 << (index & 0x3F)) != 0; + } + + Result get_at(u32 index) const + { + if (index >= m_size) + { + return ERR("index " + std::to_string(index) + " is out of range, FiniteSet has size " + std::to_string(m_size)); + } + + return OK(m_data->at(index)); + } + + Result get_index(const T& elem) const + { + const auto it = m_data_to_index->find(elem); + if (it == m_data_to_index->end()) + { + return ERR("element cannot be part of FiniteSet because it is not contained in base data"); + } + + return OK(it->second); + } + + std::vector get_contained() const + { + std::vector res; + + for (u32 i = 0; i < m_content.size(); i++) + { + for (u32 j = 0; j < 64; j++) + { + if (m_content.at(i) & ((u64)1 << j)) + { + res.push_back(m_data->at((i << 6) + j)); + } + } + } + + return res; + } + + u32 m_size; + const std::vector* const m_data; + const std::unordered_map* const m_data_to_index; + std::vector m_content; + }; +} // namespace hal diff --git a/include/hal_core/utilities/utils.h b/include/hal_core/utilities/utils.h index 141c1243d86..fbb22a8b84f 100644 --- a/include/hal_core/utilities/utils.h +++ b/include/hal_core/utilities/utils.h @@ -634,6 +634,15 @@ namespace hal */ CORE_API std::filesystem::path get_file(std::string file_name, std::vector path_hints); + /** + * Try to generate a unique temporary directory. + * + * @param[in] max_attmeps - The maximum amount of attempts before the function fails. + * @param[in] prefix - A prefix that is added in front of the unique identifier. + * @returns OK and the created directory path on success, an ERROR otherwise + */ + CORE_API Result get_unique_temp_directory(const std::string& prefix = "", const u32 max_attmeps = 42); + /** * Get the licenses of all embedded OpenSource Projects. * @@ -750,18 +759,20 @@ namespace hal * A safe wrapper around the std::stoull function that provides a Result<> for error handling instead of exceptions. * * @param[in] s - The string represntation of the number. + * @param[in] base - The base of the integer, defaults to 10. * * @returns OK and an integer on success, an ERROR otherwise. */ - CORE_API Result wrapped_stoull(const std::string& s); + CORE_API Result wrapped_stoull(const std::string& s, const u32 base = 10); /** * A safe wrapper around the std::stoul function that provides a Result<> for error handling instead of exceptions. * * @param[in] s - The string represntation of the number. + * @param[in] base - The base of the integer, defaults to 10. * * @returns OK and an integer on success, an ERROR otherwise. */ - CORE_API Result wrapped_stoul(const std::string& s); + CORE_API Result wrapped_stoul(const std::string& s, const u32 base = 10); } // namespace utils } // namespace hal diff --git a/plugins/.gitignore b/plugins/.gitignore index d4a380aef01..76716284477 100644 --- a/plugins/.gitignore +++ b/plugins/.gitignore @@ -3,12 +3,18 @@ !bitorder_propagation/**/* !boolean_influence* !boolean_influence/**/* +!bitwuzla_utils* +!bitwuzla_utils/**/* !dataflow_analysis* !dataflow_analysis/**/* +!edif_parser* +!edif_parser/**/* !gate_libraries* !gate_libraries/**/* !gexf_writer* !gexf_writer/**/* +!genlib_writer* +!genlib_writer/**/* !graph_algorithm* !graph_algorithm/**/* !gui* @@ -17,6 +23,8 @@ !gui_extension_demo/**/* !liberty_parser* !liberty_parser/**/* +!machine_learning* +!machine_learning/**/* !netlist_preprocessing* !netlist_preprocessing/**/* !hgl_parser* diff --git a/plugins/bitorder_propagation/scripts/cli_test_simple_risc_bitorder_propagation.py b/plugins/bitorder_propagation/scripts/cli_test_simple_risc_bitorder_propagation.py index dbd712d9b6d..3c1115934b6 100644 --- a/plugins/bitorder_propagation/scripts/cli_test_simple_risc_bitorder_propagation.py +++ b/plugins/bitorder_propagation/scripts/cli_test_simple_risc_bitorder_propagation.py @@ -1,29 +1,109 @@ -#!/usr/bin/env python3 -import sys, os +import json -#some necessary configuration: -sys.path.append("/home/simon/projects/hal/build/lib/") #this is where your hal python lib is located -os.environ["HAL_BASE_PATH"] = "/home/simon/projects/hal/build/" # hal base path+ +from hal_plugins import bitorder_propagation +from hal_plugins import netlist_preprocessing -import hal_py -netlist_to_read = "/home/simon/workspaces/2022_12_22/simple_risc_v_bitorder_test/simple_risc_v_bitorder_test.hal" -gate_library_path = "/home/simon/projects/hal/plugins/real_world_reversing/gate_libraries/ice40ultra_iphone.hgl" +min_group_size = 8 -#initialize HAL -hal_py.plugin_manager.load_all_plugins() +# clean up all _ordered_suffixes in the netlist +for m in netlist.get_modules(): + for pg in m.get_pin_groups(): + new_name = pg.name + while new_name.endswith("_ordered"): + new_name = new_name.removesuffix("_ordered") -#read netlist -netlist = hal_py.NetlistFactory.load_netlist(netlist_to_read) + if new_name != pg.name: + m.set_pin_group_name(pg, new_name) + #print(pg.name, new_name) -from hal_plugins import bitorder_propagation +# reconstruct top module pin groups +netlist_preprocessing.NetlistPreprocessingPlugin.reconstruct_top_module_pin_groups(netlist) + +unknown_module_pingroups = list() +known_module_pingroups = list() + +use_register_ground_truth = True + +for m in netlist.get_modules(): + if "DANA" in m.name: + for pg in m.get_pin_groups(): + if pg.size() > min_group_size: + unknown_module_pingroups.append((m, pg)) + + continue + + # value checks do not provide a bitorder + if "VALUE_CHECK" in m.name: + continue + + for pg in m.get_pin_groups(): + if pg.name not in ["I", "O"] and (pg.size() > min_group_size): + known_module_pingroups.append((m, pg)) + +# generate ground truth for register modules +bitorder_ground_truth = dict() +if use_register_ground_truth: + for m, pg in unknown_module_pingroups: + net_to_index = dict() + for p in pg.pins: + if p.direction == hal_py.PinDirection.output: + gate = p.net.get_sources()[0].gate + else: + gate = p.net.get_destinations(lambda ep : ep.gate.module == m)[0].gate + + if ("preprocessing_information", "multi_bit_indexed_identifiers") in gate.data: + _, reconstructed_identifiers_str = gate.get_data("preprocessing_information", "multi_bit_indexed_identifiers") + + reconstructed_identifiers = json.loads(reconstructed_identifiers_str) + + if len(reconstructed_identifiers) == 0: + group_name = gate.name + index = 0 + else: + identifier = reconstructed_identifiers[0] + group_name = identifier[0] + index = identifier[1] + + # if (identifier[2] != "gate_name"): + # print("Warning, found identifier that stems not from a gate name, this could lead to unwanted behavior") + # print(reconstructed_identifiers) + + + net_to_index[p.net] = index + #print("Net {} / {} - Gate {} / {}: {} {}".format(p.net.id, p.net.name, gate.id, gate.name, group_name, index)) + + bitorder_ground_truth[(m, pg)] = net_to_index + +print("KNOWN MODULE BITORDER:") +for mpg in known_module_pingroups: + m, pg = mpg + print("\t{} - {}".format(m.name, pg.name)) + +print("UNKNOWN MODULE BITORDER:") +for mpg in unknown_module_pingroups: + m, pg = mpg + print("\t{} - {}".format(m.name, pg.name)) + +# 1) only registers +bitorder_propagation_result = bitorder_propagation.BitorderPropagationPlugin.propagate_bitorder(known_module_pingroups, unknown_module_pingroups) + +relative_unknown = 1.0 +if len(unknown_module_pingroups) != 0: + relative_unknown = (len(bitorder_propagation_result) - len(known_module_pingroups)) / len(unknown_module_pingroups) -known_bitorders = [(71, "OUT"), (71, "IN"), (5, "3539_RDATA"), (5, "3539_WADDR"), (5, "3539_WDATA"), (5, "3539_RADDR"), (4, "3540_RADDR"), (4, "3540_WADDR"), (4, "3540_MASK"), (4, "3540_WDATA"), (4, "3540_RDATA"), (72, "OUT"), (72, "IN"), (2, "4417_ADDRESS"), (2, "4418_DATAOUT"), (2, "4418_DATAIN"), (2, "4417_DATAIN"), (2, "4417_MASKWREN"), (2, "4417_DATAOUT"), (3, "3541_RDATA"), (3, "3542_RDATA"), (3, "3541_WDATA"), (3, "3541_RADDR"), (3, "3541_WADDR"), (3, "3541_MASK"), (3, "3542_WDATA"), (70, "B"), (70, "A"), (74, "IN"), (74, "OUT"), (73, "OUT"), (73, "IN"), (64, "A"), (64, "OUT"), (64, "B"), (65, "OUT"), (65, "B"), (65, "A"), ] -unknown_bitorders = [(10, "Q"), (10, "D"), (51, "Q"), (51, "D"), (50, "Q"), (50, "D"), (44, "Q"), (44, "D"), (45, "D"), (45, "Q"), (53, "D"), (53, "Q"), (33, "D"), (33, "Q"), (24, "D"), (24, "Q"), (30, "D"), (30, "Q"), (26, "Q"), (26, "D"), (54, "D"), (54, "Q"), (20, "Q"), (20, "D"), (11, "D"), (11, "Q"), (41, "D"), (41, "Q"), (25, "Q"), (25, "D"), (13, "Q"), (13, "D"), (21, "Q"), (21, "D"), (52, "Q"), (52, "D"), (28, "D"), (28, "Q"), (35, "Q"), (35, "D"), (47, "D"), (47, "Q"), (16, "Q"), (16, "D"), (12, "D"), (12, "Q"), (62, "D"), (62, "Q"), (36, "D"), (36, "Q"), (23, "D"), (23, "Q"), (61, "D"), (61, "Q"), (29, "D"), (29, "Q"), (34, "D"), (34, "Q"), (46, "Q"), (46, "D"), (9, "Q"), (9, "D"), (39, "D"), (39, "Q"), (19, "Q"), (19, "D"), (48, "Q"), (48, "D"), (32, "Q"), (32, "D"), (14, "Q"), (14, "D"), (18, "D"), (18, "Q"), (60, "D"), (60, "Q"), (58, "Q"), (58, "D"), (59, "Q"), (59, "D"), (56, "D"), (56, "Q"), (42, "Q"), (42, "D"), (43, "Q"), (43, "D"), (17, "D"), (17, "Q"), (22, "Q"), (22, "D"), (57, "Q"), (57, "D"), (63, "D"), (63, "Q"), (15, "Q"), (15, "D"), (31, "D"), (31, "Q"), (38, "D"), (38, "Q"), (37, "D"), (37, "Q"), (40, "Q"), (40, "D"), (49, "Q"), (49, "D"), (27, "Q"), (27, "D"), (55, "D"), (55, "Q"), ] +relative_total = 1.0 +if (len(unknown_module_pingroups) + len(known_module_pingroups)) != 0: + relative_total = len(bitorder_propagation_result) / (len(unknown_module_pingroups) + len(known_module_pingroups)) -res_1 = bitorder_propagation.BitorderPropagationPlugin.propagate_bitorder(netlist, known_bitorders, unknown_bitorders) -print("Done") +benchmark_results = dict() +benchmark_results["BITORDER_PROPAGATION"] = dict() +benchmark_results["BITORDER_PROPAGATION"]["register_only"] = dict() +benchmark_results["BITORDER_PROPAGATION"]["register_only"]["initial_known_pingroups"] = len(known_module_pingroups) +benchmark_results["BITORDER_PROPAGATION"]["register_only"]["unknown_pingroups"] = len(unknown_module_pingroups) +benchmark_results["BITORDER_PROPAGATION"]["register_only"]["final_known_pingroups"] = len(bitorder_propagation_result) +benchmark_results["BITORDER_PROPAGATION"]["register_only"]["relative_unknown"] = "{:.2}".format(relative_unknown) +benchmark_results["BITORDER_PROPAGATION"]["register_only"]["relative_total"] = "{:.2}".format(relative_total) -#unload everything hal related -hal_py.plugin_manager.unload_all_plugins() +print(benchmark_results) \ No newline at end of file diff --git a/plugins/bitorder_propagation/src/plugin_bitorder_propagation.cpp b/plugins/bitorder_propagation/src/plugin_bitorder_propagation.cpp index 7e839e0d7ac..5322ce291a2 100644 --- a/plugins/bitorder_propagation/src/plugin_bitorder_propagation.cpp +++ b/plugins/bitorder_propagation/src/plugin_bitorder_propagation.cpp @@ -8,6 +8,7 @@ // #define PRINT_CONFLICT // #define PRINT_CONNECTIVITY +// // #define PRINT_CONNECTIVITY_BUILDING // #define PRINT_GENERAL namespace hal @@ -141,17 +142,20 @@ namespace hal /* * This function gathers the connected neighboring pingroups for a net by propagating to the neighboring gates and searches for module pin groups. */ - Result>> gather_conntected_neighbors(Net* n, Module* module_border, std::unordered_set& visited, bool successors) + Result>> gather_conntected_neighbors(Net* n, + bool successors, + const std::set& relevant_pin_groups, + const bool guarantee_propagation, + const Module* inwards_module, + std::set>& visited, + std::map, std::map>>& cache) { std::map> connected_neighbors; - const bool PRINT_CONFLICT = false; - - if (PRINT_CONFLICT) - { - std::cout << "Gathering bit index for net " << n->get_id() << " with current module border " << (module_border ? std::to_string(module_border->get_id()) : "null") - << " in direction: " << (successors ? "forwards" : "backwards") << std::endl; - } +#ifdef PRINT_CONNECTIVITY_BUILDING + std::cout << "Gathering bit index for net " << n->get_id() << " with" << (guarantee_propagation ? "" : "out") << " guaranteed propagation " + << " in direction: " << (successors ? "forwards" : "backwards") << std::endl; +#endif // check whether the net is a global input or global output net (has no sources or destinations, but might have a bitorder annotated at the top module) if ((successors && n->is_global_output_net()) || (!successors && n->is_global_input_net())) @@ -168,15 +172,24 @@ namespace hal } auto pg = border_pin->get_group().first; +#ifdef PRINT_CONNECTIVITY_BUILDING + std::cout << "Added global IO net as origin " << m->get_name() << " - " << pg->get_name() << " - " << n->get_id() << std::endl; +#endif + connected_neighbors[{m, pg}].insert(n); } - - return OK(connected_neighbors); } const auto neighbors = successors ? n->get_destinations() : n->get_sources(); for (const auto& ep : neighbors) { + std::tuple t_ep = {ep, guarantee_propagation, inwards_module}; + if (visited.find(t_ep) != visited.end()) + { + continue; + } + visited.insert(t_ep); + Gate* g = ep->get_gate(); if (g == nullptr) @@ -184,126 +197,180 @@ namespace hal continue; } - if (PRINT_CONFLICT) - { - std::cout << "Checking gate " << g->get_id() << std::endl; - } +#ifdef PRINT_CONNECTIVITY_BUILDING + std::cout << "Checking gate " << g->get_id() << std::endl; +#endif - if (visited.find(g) != visited.end()) + if ((inwards_module != nullptr) && !inwards_module->contains_gate(g, true)) { continue; } - visited.insert(g); - // check whether we left a previously entered module - if (!module_border->contains_gate(g, true)) - { - if (PRINT_CONFLICT) - { - std::cout << "Encountered gate " << g->get_id() << " that was not part of the module border." << std::endl; - } + const auto modules = g->get_modules(); - bool is_border_pin = !successors ? module_border->is_input_net(n) : module_border->is_output_net(n); - if (is_border_pin) + if (!guarantee_propagation) + { + // check whether the net that leads to the gate is part of a relevant pin_group + bool found_relevant_pin_group = false; + for (const auto& m : modules) { - auto border_pin = module_border->get_pin_by_net(n); - if (border_pin == nullptr) + bool is_border_pin = successors ? m->is_input_net(n) : m->is_output_net(n); + if (is_border_pin) { - return ERR("cannot get bit index information for net with ID " + std::to_string(n->get_id()) + " from module with ID " + std::to_string(module_border->get_id()) - + ": net is border net but does not have a pin."); - } - auto border_pg = border_pin->get_group().first; + auto border_pin = m->get_pin_by_net(n); + if (border_pin == nullptr) + { + return ERR("cannot get bit index information for net with ID " + std::to_string(n->get_id()) + " from module with ID " + std::to_string(m->get_id()) + + ": net is border net but does not have a pin."); + } + auto border_pg = border_pin->get_group().first; - connected_neighbors[{module_border, border_pg}].insert(n); + // only consider relevant pin groups that already have a known bitorder or that are currently unknown but might get one + if (relevant_pin_groups.find({m, border_pg}) == relevant_pin_groups.end()) + { + continue; + } + + connected_neighbors[{m, border_pg}].insert(n); + found_relevant_pin_group = true; + } } - continue; + // stop the propagation at the gate when we reached it via at least one relevant pin group + if (found_relevant_pin_group) + { + continue; + } } - Module* found_module = g->get_module(); + // propagate + std::vector next_eps; - // reached another module that is not the module we are currently in - if (found_module != module_border) + for (const auto& next_ep : successors ? g->get_fan_out_endpoints() : g->get_fan_in_endpoints()) { - if (PRINT_CONFLICT) + const GatePin* pin = next_ep->get_pin(); + if (g->get_type()->has_property(GateTypeProperty::sequential) && (g->get_type()->has_property(GateTypeProperty::ff) || g->get_type()->has_property(GateTypeProperty::latch))) { - std::cout << "Found new module " << found_module->get_id() << std::endl; + if (PinType t = pin->get_type(); (t == PinType::data) || (t == PinType::state) || (t == PinType::neg_state)) + { + next_eps.push_back(next_ep); + } } + else + { + next_eps.push_back(next_ep); + } + } - bool is_border_pin = successors ? found_module->is_input_net(n) : found_module->is_output_net(n); - if (is_border_pin) + for (Endpoint* next_ep : next_eps) + { + // Check whether we leave the gate via a relevant pin group, if that is the case stop + bool found_relevant_pin_group = false; + for (const auto& m : modules) { - auto border_pin = found_module->get_pin_by_net(n); - if (border_pin == nullptr) + bool is_border_pin = successors ? m->is_output_net(next_ep->get_net()) : m->is_input_net(next_ep->get_net()); + if (is_border_pin) { - return ERR("cannot get bit index information for net with ID " + std::to_string(n->get_id()) + " from module with ID " + std::to_string(found_module->get_id()) - + ": net is border net but does not have a pin."); - } - auto found_pg = border_pin->get_group().first; + auto border_pin = m->get_pin_by_net(next_ep->get_net()); + if (border_pin == nullptr) + { + return ERR("cannot get bit index information for net with ID " + std::to_string(next_ep->get_net()->get_id()) + " from module with ID " + std::to_string(m->get_id()) + + ": net is border net but does not have a pin."); + } + auto border_pg = border_pin->get_group().first; - connected_neighbors[{found_module, found_pg}].insert(n); + // only consider relevant pin groups that already have a known bitorder or that are currently unknown but might get one + if (relevant_pin_groups.find({m, border_pg}) == relevant_pin_groups.end()) + { + continue; + } + + connected_neighbors[{m, border_pg}].insert(next_ep->get_net()); + found_relevant_pin_group = true; + } } - // only stop propagation at modules with no submodules - if (found_module->get_submodules().size() == 0) + // stop the propagation at the gate when we would leave it via at least one relevant pin group + if (found_relevant_pin_group) { continue; } - } - // propagate and stop at gates that have unsupported gates types - std::vector next_nets; - if (!g->get_type()->has_property(GateTypeProperty::sequential)) - { - next_nets = successors ? g->get_fan_out_nets() : g->get_fan_in_nets(); - } - else if (g->get_type()->has_property(GateTypeProperty::sequential) && (g->get_type()->has_property(GateTypeProperty::ff) || g->get_type()->has_property(GateTypeProperty::latch))) - { - for (const auto& next_ep : successors ? g->get_fan_out_endpoints() : g->get_fan_in_endpoints()) + std::map> connected; + std::tuple t = {next_ep, false, nullptr}; + if (auto it = cache.find(t); it != cache.end()) + { + connected = it->second; + } + else { - const GatePin* pin = next_ep->get_pin(); - if (PinType t = pin->get_type(); t == PinType::data || t == PinType::state || t == PinType::neg_state) + auto res = gather_conntected_neighbors(next_ep->get_net(), successors, relevant_pin_groups, false, nullptr, visited, cache); + if (res.is_error()) { - next_nets.push_back(next_ep->get_net()); + return res; } + connected = res.get(); } - } - /*std::unordered_set new_visited = visited;*/ + // if (auto it = cache.find(t); it != cache.end()) + // { + // if (it->second != connected) + // { + // std::cout << "Found different results for: " << std::endl + // << "Net: " << n->get_id() << std::endl + // << "Guarantee Propagation: " << guarantee_propagation << std::endl + // << "Parent Module: " << (inwards_module ? inwards_module->get_id() : 0) << std::endl; + + // std::cout << "NEW: " << std::endl; + // for (const auto& [mpg, nets] : connected) + // { + // std::cout << mpg.first->get_name() << " - " << mpg.second->get_name() << std::endl; + // for (const auto& net : nets) + // { + // std::cout << "\t" << net->get_name() << std::endl; + // } + // } + + // std::cout << "OLD: " << std::endl; + // for (const auto& [mpg, nets] : it->second) + // { + // std::cout << mpg.first->get_name() << " - " << mpg.second->get_name() << std::endl; + // for (const auto& net : nets) + // { + // std::cout << "\t" << net->get_name() << std::endl; + // } + // } + + // return ERR("TEST"); + // } + // } - for (Net* next_n : next_nets) - { - auto res = gather_conntected_neighbors(next_n, found_module, /*new_*/ visited, successors); - if (res.is_error()) - { - return res; - } + cache[t] = connected; - for (auto& [org_mpg, nets] : res.get()) + for (auto& [org_mpg, nets] : connected) { connected_neighbors[org_mpg].insert(nets.begin(), nets.end()); } } } + // cache[t] = connected_neighbors; return OK(connected_neighbors); } /* - * This function tries to extract valid bit orders from the bit index information that was gathered during the propagation step. - * First conflicting information is deleted, second offsets between different information origins are calculated and lastly the resulting bitorder is validated in terms of continuity and completeness. - * The Validation strictness can be tweaked with the parameter 'only_allow_consecutive_bitorders'. + * Reduces a collection of bit indices by deleting invalid indices. + * Indices are considered invalid when: + * - a module/pin group origin annotates different indices for the same pin + * - a module/pin group annotates the same index to different pins */ - std::map extract_well_formed_bitorder(const MPG& mpg, const std::map& collected_bitindices, bool only_allow_consecutive_bitorders = true) + const std::map reduce_indices(const std::map& collected_bitindices) { - // ############################################### // - // ############### CONFLICT FINDING ############## // - // ############################################### // - #ifdef PRINT_CONFLICT + std::cout << "\tVanilla indices: " << std::endl; for (const auto& [net, possible_bitindices] : collected_bitindices) { - std::cout << "\t\tNet " << net->get_id() << ": " << std::endl; + std::cout << "\t\tNet " << net->get_id() << " - " << net->get_name() << ": " << std::endl; u32 origins = 0; for (const auto& [org_mpg, indices] : possible_bitindices) { @@ -323,69 +390,73 @@ namespace hal } #endif - // delete non-valid possible indices - // indices are considered non valid when: - // - a pingroup annotates different indices for the same pin - // - a pingroup annotates the same index to different pins - - // 1) Checks whether a net has multiple indices annotated from the same origin mpg auto reduced_collected_indices = collected_bitindices; - for (auto& [net, possible_bitindices] : collected_bitindices) + // 1) Checks whether the mpg has annotated the same index to different nets + std::set> origin_indices; + std::set> origin_indices_to_remove; + + for (const auto& [net, possible_bitindices] : reduced_collected_indices) { - for (auto& [org_mpg, indices] : possible_bitindices) + for (const auto& [org_mpg, indices] : possible_bitindices) { - if (indices.size() != 1) + for (const auto& index : indices) { - reduced_collected_indices.at(net).erase(org_mpg); + if (origin_indices.find({org_mpg, index}) != origin_indices.end()) + { + origin_indices_to_remove.insert({org_mpg, index}); + } + else + { + origin_indices.insert({org_mpg, index}); + } } } - - if (reduced_collected_indices.at(net).empty()) - { - reduced_collected_indices.erase(net); - } } - if (reduced_collected_indices.empty()) +#ifdef PRINT_CONFLICT + for (const auto& [org_mpg, index] : origin_indices_to_remove) { - return {}; + std::cout << "Found org " << org_mpg.first->get_id() << "-" << org_mpg.second->get_name() << " index " << index << " pair to remove!" << std::endl; } +#endif - // 2) Checks whether the mpg has annotated the same index to different nets - std::set> origin_indices; - std::set> origin_indices_to_remove; - - for (auto& [net, possible_bitindices] : reduced_collected_indices) + for (auto& [net, possible_bitindices] : collected_bitindices) { for (auto& [org_mpg, indices] : possible_bitindices) { - u32 index = *(indices.begin()); - if (origin_indices.find({org_mpg, index}) != origin_indices.end()) + for (const auto& index : indices) { - origin_indices_to_remove.insert({org_mpg, index}); + if (origin_indices_to_remove.find({org_mpg, index}) != origin_indices_to_remove.end()) + { + reduced_collected_indices.at(net).at(org_mpg).erase(index); + } } - else + + if (reduced_collected_indices.at(net).at(org_mpg).empty()) { - origin_indices.insert({org_mpg, index}); + reduced_collected_indices.at(net).erase(org_mpg); } } + + if (reduced_collected_indices.at(net).empty()) + { + reduced_collected_indices.erase(net); + } } -#ifdef PRINT_CONFLICT - for (const auto& [org_mpg, index] : origin_indices_to_remove) + if (reduced_collected_indices.empty()) { - std::cout << "Found org " << org_mpg.first->get_id() << "-" << org_mpg.second->get_name() << " index " << index << " pair to remove!" << std::endl; + return {}; } -#endif + // 2) Checks whether a net has multiple indices annotated from the same origin mpg auto further_reduced_collected_indices = reduced_collected_indices; for (auto& [net, possible_bitindices] : reduced_collected_indices) { for (auto& [org_mpg, indices] : possible_bitindices) { - u32 index = *(indices.begin()); - if (origin_indices_to_remove.find({org_mpg, index}) != origin_indices_to_remove.end()) + if (indices.size() != 1) { further_reduced_collected_indices.at(net).erase(org_mpg); } @@ -423,16 +494,106 @@ namespace hal } } #endif - // End debug printing - // ######################################################## // - // ############### CONSENS FINDING - OFFSET ############### // - // ######################################################## // + return further_reduced_collected_indices; + } + + /* + * Checks whether every net of a module pin group got assigned a valid index + */ + const bool check_completeness(const MPG& mpg, const std::map& consensus_bitindices) + { + bool is_complete_pin_group_bitorder = true; + + for (auto& pin : mpg.second->get_pins()) + { + Net* net = pin->get_net(); + if (consensus_bitindices.find(net) == consensus_bitindices.end()) + { + is_complete_pin_group_bitorder = false; + +#ifdef PRINT_CONFLICT + std::cout << "Missing net " << net->get_id() << " - " << net->get_name() << " for complete bitorder." << std::endl; +#endif + break; + } + } + +#ifdef PRINT_CONFLICT + if (is_complete_pin_group_bitorder) + { + // TODO remove + std::cout << "Found complete bitorder for pingroup " << mpg.second->get_name() << std::endl; + for (const auto& [net, index] : consensus_bitindices) + { + std::cout << net->get_id() << ": " << index << std::endl; + } + } +#endif + + return is_complete_pin_group_bitorder; + } + + /* + * Aligns gathered bit index consensus from m:m+n to 0:n + * If the flag is set this also checks whether the indices actually form a consecutive range. + * This always checks whether there the range is steadidly increasing (all indices are unique), otherwise we can not determine a order if an index duplicates. + * + */ + const std::map align_indices(const std::map& consensus_bitindices, const bool only_allow_consecutive_bitorders) + { + std::map aligned_consensus; + + std::set unique_indices; + for (const auto& [_n, index] : consensus_bitindices) + { + unique_indices.insert(index); + } + + if (unique_indices.empty()) + { + return {}; + } + + const i32 min_index = *(unique_indices.begin()); + const i32 max_index = *(unique_indices.rbegin()); + + // when the range is larger than pin group size there are holes in the bitorder + if (only_allow_consecutive_bitorders && ((max_index - min_index) > (i32(consensus_bitindices.size()) - 1))) + { + return {}; + } + + // when there are less unique indices in the range than nets, there are duplicates + if (unique_indices.size() < consensus_bitindices.size()) + { + return {}; + } + + std::map index_to_net; + for (const auto& [net, index] : consensus_bitindices) + { + index_to_net[index] = net; + } + + u32 index_counter = 0; + for (const auto& [_unaligned_index, net] : index_to_net) + { + aligned_consensus[net] = index_counter++; + } + + return aligned_consensus; + } - // try to find a consens between the different possible indices - std::map consens_bitindices; + /* + * Trys to find consensus by searching for a pairwise offset between all different module/pin group origins that holds for all nets that are annotated by the same origins. + * This offset propagates so when A and B share an offset p and B and C share an offset q then the offset between A and C should be p + q. + */ + std::map find_consensus_via_offset(const MPG& mpg, const std::map& indices, const bool only_allow_consecutive_bitorders) + { + std::map consensus_bitindices; - auto offset_matrix_res = build_offset_matrix(further_reduced_collected_indices); + auto offset_matrix_res = build_offset_matrix(indices); if (offset_matrix_res.is_error()) { #ifdef PRINT_CONFLICT @@ -442,6 +603,7 @@ namespace hal } auto offset_matrix = offset_matrix_res.get(); + // select a pseudo random base line and gather the offsets between the base line and all other possible module/pin group origins auto base_line = offset_matrix.begin()->first; // TODO remove @@ -459,7 +621,7 @@ namespace hal } #endif - for (const auto& [net, possible_bitindices] : further_reduced_collected_indices) + for (const auto& [net, possible_bitindices] : indices) { // pair of first possible org_mod and org_pin_group MPG org = possible_bitindices.begin()->first; @@ -473,7 +635,7 @@ namespace hal { // if there cannot be found any valid offset to the baseline, but there is just one possible index annotated, we still allow it // -> this wont break anything, since this only allows for bitorders that we otherwise would have discarded because of a missing net - consens_bitindices[net] = org_index; + consensus_bitindices[net] = org_index; } else { @@ -485,134 +647,238 @@ namespace hal else { i32 offset = offset_res.get(); - consens_bitindices[net] = org_index + offset; + consensus_bitindices[net] = org_index + offset; //std::cout << "Org Index: " << org_index << " Offset: " << offset << std::endl; } } #ifdef PRINT_CONFLICT std::cout << "Found offset bitorder: " << std::endl; - for (const auto& [net, index] : consens_bitindices) + for (const auto& [net, index] : consensus_bitindices) { std::cout << net->get_id() << ": " << index << std::endl; } #endif // ############################################################## // - // ############### CONSENS FINDING - COMPLETENESS ############### // + // ############### CONSENSUS FINDING - COMPLETENESS ############### // // ############################################################## // - bool complete_pin_group_bitorder = true; - std::map complete_consens; + const auto is_complete_pin_group_bitorder = check_completeness(mpg, consensus_bitindices); - for (auto& pin : mpg.second->get_pins()) + if (!is_complete_pin_group_bitorder) { - Net* net = pin->get_net(); - // Currently also ignoring power/gnd nets but a more optimal approach would be to optimize them away where they are not needed (but we only got LUT4) - // -> maybe not, we would destroy 16 bit muxes if the top most MUX - if (net->is_gnd_net() || net->is_vcc_net()) + return {}; + } + + // ########################################################### // + // ############### CONSENSUS FINDING - ALIGNMENT ############### // + // ########################################################### // + + const auto aligned_indices = align_indices(consensus_bitindices, only_allow_consecutive_bitorders); + + return aligned_indices; + } + + /* + * Finds the most common annotated index from a set of possible bit indices for all nets where this is possible. + */ + const std::map conduct_majority_vote(const std::map& indices) + { + std::map majority_indices; + + for (const auto& [net, possible_indices] : indices) + { + std::map index_to_count; + for (const auto& [_org, org_indices] : possible_indices) { - continue; + for (const auto& index : org_indices) + { + index_to_count[index]++; + } } - if (consens_bitindices.find(net) == consens_bitindices.end()) + // if there is only one index use this one + if (index_to_count.size() == 1) { - complete_pin_group_bitorder = false; + majority_indices.insert({net, index_to_count.begin()->first}); + continue; + } - // TODO remove - // std::cout << "Missing in net " << in_net->get_id() << " for complete bitorder." << std::endl; + // sort possible indices by how often they occur and afterwards check whether there is a clear majority + std::vector> index_counts = {index_to_count.begin(), index_to_count.end()}; + std::sort(index_counts.begin(), index_counts.end(), [](const auto& p1, const auto& p2) { return p1.second > p2.second; }); - break; - } - else + if (index_counts.at(0).second > index_counts.at(1).second) { - complete_consens[net] = consens_bitindices.at(net); + majority_indices.insert({net, index_counts.at(0).first}); } } - if (!complete_pin_group_bitorder) + return majority_indices; + } + + /* + * Trys to find a consensus by conducting a majority vote on each net of the pin group and only keeping the index with the most votes. + */ + std::map find_consensus_via_majority(const MPG& mpg, const std::map& indices, const bool only_allow_consecutive_bitorders) + { + const auto majority_indices = conduct_majority_vote(indices); + +#ifdef PRINT_CONFLICT + std::cout << "Found majority bitorder: " << std::endl; + for (const auto& [net, index] : majority_indices) + { + std::cout << net->get_id() << ": " << index << std::endl; + } +#endif + + const auto is_complete_pin_group_bitorder = check_completeness(mpg, majority_indices); + + if (!is_complete_pin_group_bitorder) { return {}; } - // TODO remove + const auto aligned_indices = align_indices(majority_indices, only_allow_consecutive_bitorders); + + return aligned_indices; + } + + /* + * Trys to find a consensus by applying two iterative majority votes. + * The first is just a simple majority vote on the already reduced bit indices. + * For the second vote all nets that already got an index annotated by the first majority vote are disregarded from the set of ALL (unreduced) bit indices. + * Afterwards the smaller set is then reduced and a second majority vote is conducted. + * + * The idea is that conflicts that were previously present when considering all nets might disappear when disregarding nets already indexed. + */ + std::map find_consensus_via_majority_relaxed(const MPG& mpg, + const std::map& all_indices, + const std::map& reduced_indices, + const bool only_allow_consecutive_bitorders) + { + // 1st iteration + const auto first_majority_indices = conduct_majority_vote(reduced_indices); + + // take ALL colltected net indices and delete the ones already indexed in the first itereation + auto unfound_indices = all_indices; + for (const auto& [net, _] : first_majority_indices) + { + unfound_indices.erase(net); + } + + // reduce indices again, but this time only consider nets that do not yet have an index found via majority + auto relaxed_reduced_indices = reduce_indices(unfound_indices); + + // 2nd iteration + const auto second_majority_indices = conduct_majority_vote(relaxed_reduced_indices); + #ifdef PRINT_CONFLICT - std::cout << "Found complete bitorder for pingroup " << mpg.second->get_name() << std::endl; - for (const auto& [net, index] : complete_consens) + std::cout << "Found majority bitorder: " << std::endl; + for (const auto& [net, index] : second_majority_indices) { std::cout << net->get_id() << ": " << index << std::endl; } #endif - // ########################################################### // - // ############### CONSENS FINDING - ALIGNMENT ############### // - // ########################################################### // + std::map combined_indices = first_majority_indices; + for (const auto& p : second_majority_indices) + { + combined_indices.insert(p); + } - std::map aligned_consens; + const auto is_complete_pin_group_bitorder = check_completeness(mpg, combined_indices); - // align consens from m:m+n to 0:n - i32 max_index = 0x80000000; - i32 min_index = 0x7fffffff; - std::set unique_indices; - for (const auto& [_n, index] : complete_consens) + if (!is_complete_pin_group_bitorder) { - unique_indices.insert(index); + return {}; + } - if (index > max_index) - { - max_index = index; - } + const auto aligned_indices = align_indices(combined_indices, only_allow_consecutive_bitorders); - if (index < min_index) - { - min_index = index; - } - } + return aligned_indices; + } - // when the range is larger than pin group size there are holes in the bitorder - if (only_allow_consecutive_bitorders && ((max_index - min_index) > (i32(complete_consens.size()) - 1))) + /* + * This function tries to extract valid bit orders from the bit index information that was gathered during the propagation step. + * First conflicting information is deleted, second different strategies for building a consenus are applied. + * The resulting bitorder consensus is validated in terms of continuity and completeness. + * The Validation strictness can be tweaked with the parameter 'only_allow_consecutive_bitorders'. + */ + std::map extract_well_formed_bitorder(const MPG& mpg, const std::map& collected_bitindices, bool only_allow_consecutive_bitorders = true) + { + auto reduced_collected_indices = reduce_indices(collected_bitindices); + + if (reduced_collected_indices.empty()) { return {}; } - // when there are less unique indices in the range than nets, there are duplicates - if (unique_indices.size() < complete_consens.size()) + auto aligned_consensus = find_consensus_via_offset(mpg, reduced_collected_indices, only_allow_consecutive_bitorders); + + if (aligned_consensus.empty()) { - return {}; + aligned_consensus = find_consensus_via_majority(mpg, reduced_collected_indices, only_allow_consecutive_bitorders); } - std::map index_to_net; - for (const auto& [net, index] : complete_consens) + if (aligned_consensus.empty()) { - index_to_net[index] = net; + aligned_consensus = find_consensus_via_majority_relaxed(mpg, collected_bitindices, reduced_collected_indices, only_allow_consecutive_bitorders); } - u32 index_counter = 0; - for (const auto& [_unaligned_index, net] : index_to_net) + if (aligned_consensus.empty()) { - aligned_consens[net] = index_counter++; + return {}; } // TODO remove #ifdef PRINT_CONFLICT std::cout << "Found valid input bitorder for pingroup " << mpg.second->get_name() << std::endl; - for (const auto& [net, index] : aligned_consens) + for (const auto& [net, index] : aligned_consensus) { std::cout << net->get_id() << ": " << index << std::endl; } #endif - return aligned_consens; + return aligned_consensus; } } // namespace Result>> BitorderPropagationPlugin::propagate_module_pingroup_bitorder(const std::map>& known_bitorders, const std::set& unknown_bitorders, - const bool strict_consens_finding) + const bool strict_consensus_finding) { - std::unordered_map, std::vector>, boost::hash>> connectivity_inwards; - std::unordered_map, std::vector>, boost::hash>> connectivity_outwards; + // std::unordered_map, std::vector>>, boost::hash>>> connectivity_inwards; + // std::unordered_map, std::vector>>, boost::hash>>> connectivity_outwards; + + std::map, std::vector>>> connectivity_inwards; + std::map, std::vector>>> connectivity_outwards; + +#ifdef PRINT_GENERAL + std::cout << "Known bitorders [" << known_bitorders.size() << "]:" << std::endl; + for (const auto& [mpg, _] : known_bitorders) + { + std::cout << "\t" << mpg.first->get_name() << " - " << mpg.second->get_name() << std::endl; + } + + std::cout << "Unknown bitorders [" << known_bitorders.size() << "]:" << std::endl; + for (const auto& [m, pg] : unknown_bitorders) + { + std::cout << "\t" << m->get_name() << " - " << pg->get_name() << std::endl; + } + +#endif + + std::set relevant_pin_groups = unknown_bitorders; + for (const auto& [kb, _] : known_bitorders) + { + relevant_pin_groups.insert(kb); + } + + std::map, std::map>> cache_outwards; + std::map, std::map>> cache_inwards; // Build connectivity for (const auto& [m, pg] : unknown_bitorders) @@ -623,8 +889,8 @@ namespace hal { const auto starting_net = p->get_net(); - std::unordered_set visited_outwards; - const auto res_outwards = gather_conntected_neighbors(starting_net, m->get_parent_module(), visited_outwards, successors); + std::set> visited_outwards; + const auto res_outwards = gather_conntected_neighbors(starting_net, successors, relevant_pin_groups, false, nullptr, visited_outwards, cache_outwards); if (res_outwards.is_error()) { return ERR_APPEND(res_outwards.get_error(), @@ -633,8 +899,9 @@ namespace hal } const auto connected_outwards = res_outwards.get(); - std::unordered_set visited_inwards; - const auto res_inwards = gather_conntected_neighbors(starting_net, m, visited_inwards, !successors); + std::set> visited_inwards; + // NOTE when propagating inwards we guarantee the first propagation since otherwise we would stop at our starting pingroup + const auto res_inwards = gather_conntected_neighbors(starting_net, !successors, relevant_pin_groups, true, m, visited_inwards, cache_inwards); if (res_inwards.is_error()) { return ERR_APPEND(res_inwards.get_error(), @@ -651,7 +918,7 @@ namespace hal // continue; // } - connectivity_outwards[{{m, pg}, starting_net}].push_back({org_mpg, *nets.begin()}); + connectivity_outwards[{{m, pg}, starting_net}].push_back({org_mpg, nets}); } for (const auto& [org_mpg, nets] : connected_inwards) @@ -662,7 +929,7 @@ namespace hal // continue; // } - connectivity_inwards[{{m, pg}, starting_net}].push_back({org_mpg, *nets.begin()}); + connectivity_inwards[{{m, pg}, starting_net}].push_back({org_mpg, nets}); } } } @@ -673,18 +940,24 @@ namespace hal { std::cout << start.first.first->get_id() << " / " << start.first.first->get_name() << " - " << start.first.second->get_name() << " (OUTWARDS)@ " << start.second->get_id() << " / " << start.second->get_name() << std::endl; - for (const auto& [mpg, net] : connected) + for (const auto& [mpg, nets] : connected) { - std::cout << "\t" << mpg.first->get_id() << " / " << mpg.first->get_name() << " - " << mpg.second->get_name() << ": " << net->get_id() << " / " << net->get_name() << std::endl; + for (const auto& net : nets) + { + std::cout << "\t" << mpg.first->get_id() << " / " << mpg.first->get_name() << " - " << mpg.second->get_name() << ": " << net->get_id() << " / " << net->get_name() << std::endl; + } } } for (const auto& [start, connected] : connectivity_inwards) { std::cout << start.first.first->get_id() << " / " << start.first.first->get_name() << " - " << start.first.second->get_name() << " (INWARDS)@ " << start.second->get_id() << " / " << start.second->get_name() << std::endl; - for (const auto& [mpg, net] : connected) + for (const auto& [mpg, nets] : connected) { - std::cout << "\t" << mpg.first->get_id() << " / " << mpg.first->get_name() << " - " << mpg.second->get_name() << ": " << net->get_id() << " / " << net->get_name() << std::endl; + for (const auto& net : nets) + { + std::cout << "\t" << mpg.first->get_id() << " / " << mpg.first->get_name() << " - " << mpg.second->get_name() << ": " << net->get_id() << " / " << net->get_name() << std::endl; + } } } #endif @@ -721,7 +994,7 @@ namespace hal break; } - log_info("bitorder_propagation", "Starting {}bitorder propagation iteration {}.", (strict_consens_finding ? "strict " : ""), iteration_ctr); + log_info("bitorder_propagation", "Starting {}bitorder propagation iteration {}.", (strict_consensus_finding ? "strict " : ""), iteration_ctr); std::map> new_wellformed_module_pin_groups = {}; @@ -778,25 +1051,28 @@ namespace hal const auto& connected_inwards = connectivity_inwards.at({{m, pg}, starting_net}); - for (const auto& [org_mpg, org_net] : connected_inwards) + for (const auto& [org_mpg, org_nets] : connected_inwards) { if (auto mpg_it = wellformed_module_pin_groups.find(org_mpg); mpg_it != wellformed_module_pin_groups.end()) { const auto& nets = mpg_it->second; - if (auto net_it = nets.find(org_net); net_it != nets.end()) - { - collected_inwards[starting_net][org_mpg].insert(net_it->second); - collected_combined[starting_net][org_mpg].insert(net_it->second); - } - else + for (const auto& org_net : org_nets) { - log_warning("bitorder_propagation", - "Module {} / {} and pin group {} are wellformed but are missing an index for net {} / {}!", - org_mpg.first->get_id(), - org_mpg.first->get_name(), - org_mpg.second->get_name(), - org_net->get_id(), - org_net->get_name()); + if (auto net_it = nets.find(org_net); net_it != nets.end()) + { + collected_inwards[starting_net][org_mpg].insert(net_it->second); + collected_combined[starting_net][org_mpg].insert(net_it->second); + } + else + { + log_warning("bitorder_propagation", + "Module {} / {} and pin group {} are wellformed but are missing an index for net {} / {}!", + org_mpg.first->get_id(), + org_mpg.first->get_name(), + org_mpg.second->get_name(), + org_net->get_id(), + org_net->get_name()); + } } } } @@ -819,55 +1095,58 @@ namespace hal const auto& connected_outwards = connectivity_outwards.at({{m, pg}, starting_net}); - for (const auto& [org_mpg, org_net] : connected_outwards) + for (const auto& [org_mpg, org_nets] : connected_outwards) { if (auto mpg_it = wellformed_module_pin_groups.find(org_mpg); mpg_it != wellformed_module_pin_groups.end()) { const auto& nets = mpg_it->second; - if (auto net_it = nets.find(org_net); net_it != nets.end()) + for (const auto& org_net : org_nets) { - collected_outwards[starting_net][org_mpg].insert(net_it->second); - collected_combined[starting_net][org_mpg].insert(net_it->second); - } - else - { - log_warning("bitorder_propagation", - "Module {} / {} and pin group {} are wellformed but are missing an index for net {} / {}!", - org_mpg.first->get_id(), - org_mpg.first->get_name(), - org_mpg.second->get_name(), - org_net->get_id(), - org_net->get_name()); + if (auto net_it = nets.find(org_net); net_it != nets.end()) + { + collected_outwards[starting_net][org_mpg].insert(net_it->second); + collected_combined[starting_net][org_mpg].insert(net_it->second); + } + else + { + log_warning("bitorder_propagation", + "Module {} / {} and pin group {} are wellformed but are missing an index for net {} / {}!", + org_mpg.first->get_id(), + org_mpg.first->get_name(), + org_mpg.second->get_name(), + org_net->get_id(), + org_net->get_name()); + } } } } } -#ifdef PRINT_GENERAL +#ifdef PRINT_CONFLICT std::cout << "Extract for " << m->get_id() << " / " << m->get_name() << " - " << pg->get_name() << ": (INWARDS) " << std::endl; #endif - const auto newly_wellformed_inwards = extract_well_formed_bitorder({m, pg}, collected_inwards, strict_consens_finding); + const auto newly_wellformed_inwards = extract_well_formed_bitorder({m, pg}, collected_inwards, strict_consensus_finding); if (!newly_wellformed_inwards.empty()) { new_wellformed_module_pin_groups[{m, pg}] = newly_wellformed_inwards; continue; } -#ifdef PRINT_GENERAL +#ifdef PRINT_CONFLICT std::cout << "Extract for " << m->get_id() << " / " << m->get_name() << " - " << pg->get_name() << ": (OUTWARDS) " << std::endl; #endif - const auto newly_wellformed_outwards = extract_well_formed_bitorder({m, pg}, collected_outwards, strict_consens_finding); + const auto newly_wellformed_outwards = extract_well_formed_bitorder({m, pg}, collected_outwards, strict_consensus_finding); if (!newly_wellformed_outwards.empty()) { new_wellformed_module_pin_groups[{m, pg}] = newly_wellformed_outwards; continue; } -#ifdef PRINT_GENERAL +#ifdef PRINT_CONFLICT std::cout << "Extract for " << m->get_id() << " / " << m->get_name() << " - " << pg->get_name() << ": (COMBINED) " << std::endl; #endif - const auto newly_wellformed_combined = extract_well_formed_bitorder({m, pg}, collected_combined, strict_consens_finding); + const auto newly_wellformed_combined = extract_well_formed_bitorder({m, pg}, collected_combined, strict_consensus_finding); if (!newly_wellformed_combined.empty()) { new_wellformed_module_pin_groups[{m, pg}] = newly_wellformed_combined; @@ -943,6 +1222,8 @@ namespace hal m->set_pin_name(pin, pin_name); } + + // m->set_pin_group_name(pg, pg->get_name() + "_ordered"); } return OK({}); diff --git a/plugins/bitwuzla_utils/CMakeLists.txt b/plugins/bitwuzla_utils/CMakeLists.txt new file mode 100644 index 00000000000..f82b6d603c1 --- /dev/null +++ b/plugins/bitwuzla_utils/CMakeLists.txt @@ -0,0 +1,18 @@ +if(BITWUZLA_FOUND) + option(PL_BITWUZLA_UTILS "PL_BITWUZLA_UTILS" ON) + + if(PL_BITWUZLA_UTILS OR BUILD_ALL_PLUGINS) + file(GLOB_RECURSE BITWUZLA_UTILS_INC ${CMAKE_CURRENT_SOURCE_DIR}/include/*.h) + file(GLOB_RECURSE BITWUZLA_UTILS_SRC ${CMAKE_CURRENT_SOURCE_DIR}/src/*.cpp) + file(GLOB_RECURSE BITWUZLA_UTILS_PYTHON_SRC ${CMAKE_CURRENT_SOURCE_DIR}/python/*.cpp) + + hal_add_plugin(bitwuzla_utils + SHARED + HEADER ${BITWUZLA_UTILS_INC} + SOURCES ${BITWUZLA_UTILS_SRC} ${BITWUZLA_UTILS_PYTHON_SRC} + LINK_LIBRARIES PUBLIC ${BITWUZLA_LINK_LIBRARIES} OpenMP::OpenMP_CXX z3_utils + ) + + add_subdirectory(test) + endif() +endif() diff --git a/plugins/bitwuzla_utils/gui_minimal_test.py b/plugins/bitwuzla_utils/gui_minimal_test.py new file mode 100644 index 00000000000..da240dc42f7 --- /dev/null +++ b/plugins/bitwuzla_utils/gui_minimal_test.py @@ -0,0 +1,10 @@ +from hal_plugins import bitwuzla_utils + +net = netlist.get_net_by_id(42) + +bitwuzla_utils.BitwuzlaUtilsPlugin.test_on_net(netlist, net) + + + + + diff --git a/plugins/bitwuzla_utils/gui_smt_benchmarks.py b/plugins/bitwuzla_utils/gui_smt_benchmarks.py new file mode 100644 index 00000000000..26001d87894 --- /dev/null +++ b/plugins/bitwuzla_utils/gui_smt_benchmarks.py @@ -0,0 +1,13 @@ +from hal_plugins import bitwuzla_utils + +net = netlist.get_net_by_id(23708) + +#bitwuzla_utils.BitwuzlaUtilsPlugin.benchmark(netlist, list([net])) +#bitwuzla_utils.BitwuzlaUtilsPlugin.benchmark(netlist, netlist.get_nets()[:10]) +#bitwuzla_utils.BitwuzlaUtilsPlugin.benchmark_threaded_creation(netlist, netlist.get_nets()[:10000], 11) +#bitwuzla_utils.BitwuzlaUtilsPlugin.benchmark_threaded_solving(netlist, netlist.get_nets()[:10000], 16) + + +#bitwuzla_utils.BitwuzlaUtilsPlugin.benchmark_simplification(netlist, list([net])) + +bitwuzla_utils.BitwuzlaUtilsPlugin.minimal_substitution() \ No newline at end of file diff --git a/plugins/bitwuzla_utils/include/bitwuzla_utils/bitwuzla_expr.h b/plugins/bitwuzla_utils/include/bitwuzla_utils/bitwuzla_expr.h new file mode 100644 index 00000000000..9347970d56b --- /dev/null +++ b/plugins/bitwuzla_utils/include/bitwuzla_utils/bitwuzla_expr.h @@ -0,0 +1,63 @@ +// #include "hal_core/netlist/boolean_function.h" + +// #include + +// namespace hal +// { +// namespace bitwuzla_utils +// { +// namespace bw +// { +// class expr +// { +// public: +// expr(const bitwuzla::Term term) : m_term{term} {}; +// expr(int value) : m_value{value} +// { +// is_value = true; +// }; + +// int get_value(); +// int bv_size(); + +// static expr variable(std::string name); +// static expr bw_const(BooleanFunction::Value value); +// static expr CONCAT(expr expr, BooleanFunction::Value value); +// static expr CONCAT(BooleanFunction::Value value, expr expr); +// static expr CONCAT(expr expr1, expr expr2); +// static expr AND(expr expr1, expr expr2); +// static expr OR(expr expr1, expr expr2); +// static expr NOT(expr expr1, expr expr2); +// static expr XOR(expr expr1, expr expr2); +// static expr ADD(expr expr1, expr expr2); +// static expr SUB(expr expr1, expr expr2); +// static expr MUL(expr expr1, expr expr2); +// static expr SDIV(expr expr1, expr expr2); +// static expr UDIV(expr expr1, expr expr2); +// static expr SREM(expr expr1, expr expr2); +// static expr UREM(expr expr1, expr expr2); +// static expr SLICE(expr expr1, u64 start, u64 end); +// static expr ZEXT(expr expr1, u64 size); +// static expr SEXT(expr expr1, u64 size); +// static expr SHL(expr expr1, expr expr2); +// static expr LSHR(expr expr1, expr expr2); +// static expr ASHR(expr expr1, expr expr2); +// static expr ROR(expr expr1, u64 amount); +// static expr ROL(expr expr1, u64 amount); +// static expr EQ(expr expr1, expr expr2); +// static expr SLE(expr expr1, expr expr2); +// static expr SLT(expr expr1, expr expr2); +// static expr ULE(expr expr1, expr expr2); +// static expr ULT(expr expr1, expr expr2); +// static expr ITE(expr expr1, expr expr2, expr expr3); + +// bitwuzla::Term m_term; + +// private: +// bool is_value = false; +// int m_value; +// }; + +// } // namespace bw +// } // namespace bitwuzla_utils +// } // namespace hal diff --git a/plugins/bitwuzla_utils/include/bitwuzla_utils/bitwuzla_utils.h b/plugins/bitwuzla_utils/include/bitwuzla_utils/bitwuzla_utils.h new file mode 100644 index 00000000000..28668ff9ff3 --- /dev/null +++ b/plugins/bitwuzla_utils/include/bitwuzla_utils/bitwuzla_utils.h @@ -0,0 +1,176 @@ +#include "hal_core/netlist/boolean_function.h" +#include "hal_core/utilities/result.h" + +#include + +namespace hal +{ + class Gate; + class GatePin; + class Net; + + namespace bitwuzla_utils + { + // namespace bw + // { + // class Config + // { + // public: + // Config() + // { + // options.set(bitwuzla::Option::PRODUCE_MODELS, true); + // options.set(bitwuzla::Option::SAT_SOLVER, "cadical"); + // wuzla = bitwuzla::Bitwuzla(options); + // }; + + // static bw::term bw_const(BooleanFunction::Value value); + + // private: + // bitwuzla::Options options; + // bitwuzla::Bitwuzla wuzla; + // }; + + // } // namespace bw + + /** + * Translates a hal Boolean function into an equivalent bitwuzla term in the given context. + * Replacement terms for variables can be specified. + * + * @param[in] bf - The Boolean function to translate. + * @param[in] ctx - The context where the new term is created in. + * @param[in] var2term - Optional replacements for variables. + * @returns A bitwuzla term equivalent to the Boolean function. + */ + Result from_bf(const BooleanFunction& bf, const std::map& var2term = {}); + + /** + * Translates a bitwuzla term into an equivalent hal Boolean function. + * + * @param[in] t - The term to translate. + * @returns A Boolean function equivalent to the bitwuzla term. + */ + Result to_bf(const bitwuzla::Term& t); + + /** + * Translates a bitwuzla term into an equivalent smt2 representation. + * + * @param[in] t - The term to translate. + * @returns A string containing the smt2 representation. + */ + std::string to_smt2(const bitwuzla::Term& t); + + /** + * Translates a bitwuzla term into a c++ representation that can be used to evalute the function fast and track the influence of the variables. + * + * @param[in] t - The term to translate. + * @returns A string containing the c++ representation. + */ + std::string to_cpp(const bitwuzla::Term& t); + + /** + * Translates a bitwuzla term into a verilog network representation. + * + * @param[in] t - The term to translate. + * @param[in] control_mapping - A control mapping that can be applied. + * @returns A string containing the verilog representation. + */ + std::string to_verilog(const bitwuzla::Term& t, const std::map& control_mapping = {}); + + /** + * Extracts all variable names from a bitwuzla term. + * + * @param[in] t - The term to extract the variable names from. + * @returns A set containing all the variable names + */ + std::set get_variable_names(const bitwuzla::Term& t); + + + /** + * Extracts all variables as term from a bitwuzla term. + * + * @param[in] t - The term to extract the variable names from. + * @returns A vectir containing all the variables + */ + Result> get_variables(const bitwuzla::Term& t); + + /** + * Extracts all net ids from the variables of a bitwuzla term. + * + * @param[in] t - The term to extract the net ids from. + * @returns A set containing all the net ids. + */ + std::set extract_net_ids(const bitwuzla::Term& t); + + /** + * Extracts all net ids from a set of variables. + * + * @param[in] variable_names - The set of variable names. + * @returns A set containing all the net ids. + */ + std::set extract_net_ids(const std::set& variable_names); + + /** + * Get the bitwuzla term representation of a combined Boolean function of a subgraph of combinational gates starting at the source of the provided subgraph output net. + * The variables of the resulting Boolean function are created from the subgraph input nets using `BooleanFunctionNetDecorator::get_boolean_variable`. + * + * @param[in] subgraph_gates - The gates making up the subgraph to consider. + * @param[in] subgraph_output - The subgraph oputput net for which to generate the Boolean function. + * @return The the bitwuzla term representation of combined Boolean function of the subgraph on success, an error otherwise. + */ + Result get_subgraph_bitwuzla_function(const std::vector& subgraph_gates, const Net* subgraph_output); + + /** + * Get the bitwuzla term representation of a combined Boolean function of a subgraph of combinational gates starting at the source of the provided subgraph output net. + * The variables of the resulting Boolean function are created from the subgraph input nets using `BooleanFunctionNetDecorator::get_boolean_variable`. + * + * @param[in] subgraph_gates - The gates making up the subgraph to consider. + * @param[in] subgraph_output - The subgraph oputput net for which to generate the Boolean function. + * @param[in] net_cache - Cache holding the already visited nets and the generated Term. + * @param[in] gate_cache - Cache holding the resolved gate Boolean function of the gates already visited. + * @return The the bitwuzla term representation of combined Boolean function of the subgraph on success, an error otherwise. + */ + Result get_subgraph_bitwuzla_function(const std::vector& subgraph_gates, + const Net* subgraph_output, + std::map& net_cache, + std::map, BooleanFunction>& gate_cache); + + /** + * Get the bitwuzla term representations of combined Boolean functions of a subgraph of combinational gates starting at the sources of the provided subgraph output nets. + * The variables of the resulting Boolean functions are created from the subgraph input nets using `BooleanFunctionNetDecorator::get_boolean_variable`. + * + * @param[in] subgraph_gates - The gates making up the subgraph to consider. + * @param[in] subgraph_outputs - The subgraph oputput nets for which to generate the Boolean functions. + * @return The the bitwuzla term representations of combined Boolean functions of the subgraph on success, an error otherwise. + */ + Result> get_subgraph_bitwuzla_functions(const std::vector& subgraph_gates, const std::vector& subgraph_outputs); + /** + * Simplify the provided Term with optional replacements as much as possible + * + * @param[in] t - The term to simplify. + * @param[in] id_to_term - Terms with the given ids will be replaced with Terms specified in the map. + * @return The simplified version of the term t. + */ + Result simplify(const bitwuzla::Term& t); + Result simplify(const bitwuzla::Term& t, std::map& id_to_term); + + /** + * Evalute the provided Term when a value is given for each variable. + * + * @param[in] t - The term to evaluate. + * @param[in] id_to_value - A map of each variable mapped to a constant value which will be evaluated. This will fail if the value does not fit into size of the given Variable. + * @return The evaluated version of the term t as Constant. + */ + + Result evaluate(const bitwuzla::Term& t,std::map& id_to_value); + + /** + * Substitue Subterms of the provided Term with other terms and simplify afterwards. + * + * @param[in] t - The term to evaluate. + * @param[in] term_to_term - A map of Terms with the corresponding Terms to replace them with. + * @return The substituted version of the term t. + */ + Result substitue(const bitwuzla::Term& t,std::map< u64,bitwuzla::Term>& id_to_term); + + } // namespace bitwuzla_utils +} // namespace hal diff --git a/plugins/bitwuzla_utils/include/bitwuzla_utils/plugin_bitwuzla_utils.h b/plugins/bitwuzla_utils/include/bitwuzla_utils/plugin_bitwuzla_utils.h new file mode 100644 index 00000000000..e9ad636a0ea --- /dev/null +++ b/plugins/bitwuzla_utils/include/bitwuzla_utils/plugin_bitwuzla_utils.h @@ -0,0 +1,19 @@ + +#pragma once + +#include "hal_core/netlist/netlist.h" +#include "hal_core/plugin_system/plugin_interface_base.h" + +namespace hal +{ + class PLUGIN_API BitwuzlaUtilsPlugin : public BasePluginInterface + { + public: + std::string get_name() const override; + std::string get_version() const override; + + void initialize() override; + + BooleanFunction get_subgraph_function_py(const Net* n, const std::vector& sub_graph_gates) const; + }; +} // namespace hal diff --git a/plugins/bitwuzla_utils/include/bitwuzla_utils/symbolic_execution.h b/plugins/bitwuzla_utils/include/bitwuzla_utils/symbolic_execution.h new file mode 100644 index 00000000000..9c3bafef1c7 --- /dev/null +++ b/plugins/bitwuzla_utils/include/bitwuzla_utils/symbolic_execution.h @@ -0,0 +1,90 @@ +#pragma once + +#include "bitwuzla_utils/bitwuzla_utils.h" + +#include + +namespace hal +{ + namespace SMT + { + + /** + * Represents the symbolic execution engine that handles the evaluation and simplification of Boolean function abstract syntax trees. + */ + class SymbolicExecution final + { + public: + //////////////////////////////////////////////////////////////////////////// + // Members + //////////////////////////////////////////////////////////////////////////// + + // /// The current symbolic state. + // SymbolicState state; + + //////////////////////////////////////////////////////////////////////////// + // Constructors, Destructors, Operators + //////////////////////////////////////////////////////////////////////////// + + /** + * Creates a symbolic execution engine and initializes the variables. + * + * @param[in] variables - The (optional) list of variables. + */ + //explicit SymbolicExecution(const std::vector& variables = {}); + + //////////////////////////////////////////////////////////////////////////// + // Interface + //////////////////////////////////////////////////////////////////////////// + + /** + * Evaluates a Boolean function within the symbolic state of the symbolic execution. + * + * @param[in] function - The Boolean function to evaluate. + * @returns Ok() and the evaluated Boolean function on success, Err() otherwise. + */ + Result evaluate(const bitwuzla::Term& function, std::map id_to_term,std::map& resulting_id_to_term) const; + + // /** + // * Evaluates an equality constraint and applies it to the symbolic state of the symbolic execution. + // * + // * @param[in] constraint - The equality constraint to evaluate. + // * @returns Ok() on success, Err() otherwise. + // */ + // Result evaluate(const Constraint& constraint); + + private: + //////////////////////////////////////////////////////////////////////////// + // Internal Interface + //////////////////////////////////////////////////////////////////////////// + + /** + * Normalizes a list of (parameter) assignments, i.e. registers before + * constants in case an operation is commutative. + * + * @param[in] p - List of Boolean functions. + * @returns List of normalized Boolean functions. + */ + static std::vector normalize(std::vector&& p); + + /** + * Simplifies a sub-expression in the Boolean function abstract syntax tree. + * + * @param[in] kind - Boolean function node. + * @param[in] p - List of node parameters. + * @returns Ok() and Boolean function on success, Err() otherwise. + */ + static Result simplify(const bitwuzla::Term& node, std::vector& p); + + /** + * Propagates constants in a sub-expression in the Boolean function abstract syntax tree. + * + * @param[in] kind - Boolean function node. + * @param[in] p - List of node parameters. + * @returns Ok() and Boolean function on success, Err() otherwise. + */ + static Result constant_propagation(const bitwuzla::Term& node, std::vector& values); + }; + + } // namespace SMT +} // namespace hal diff --git a/plugins/bitwuzla_utils/python/python_bindings.cpp b/plugins/bitwuzla_utils/python/python_bindings.cpp new file mode 100644 index 00000000000..8f85f51d4eb --- /dev/null +++ b/plugins/bitwuzla_utils/python/python_bindings.cpp @@ -0,0 +1,617 @@ +#include "hal_core/python_bindings/python_bindings.h" + +#include "bitwuzla/cpp/parser.h" +#include "bitwuzla_utils/bitwuzla_utils.h" +#include "bitwuzla_utils/plugin_bitwuzla_utils.h" +#include "hal_core/netlist/boolean_function/solver.h" +#include "hal_core/netlist/decorators/subgraph_netlist_decorator.h" +#include "hal_core/netlist/endpoint.h" +#include "hal_core/netlist/gate.h" +#include "hal_core/utilities/log.h" +#include "hal_core/utilities/result.h" +#include "pybind11/operators.h" +#include "pybind11/pybind11.h" +#include "pybind11/stl.h" +#include "pybind11/stl_bind.h" +#include "z3_utils/include/z3_utils.h" + +#include + +namespace py = pybind11; + +namespace hal +{ + + // the name in PYBIND11_MODULE/PYBIND11_PLUGIN *MUST* match the filename of the output library (without extension), + // otherwise you will get "ImportError: dynamic module does not define module export function" when importing the module + +#ifdef PYBIND11_MODULE + PYBIND11_MODULE(bitwuzla_utils, m) + { + m.doc() = "hal BitwuzlaUtilsPlugin python bindings"; +#else + PYBIND11_PLUGIN(bitwuzla_utils) + { + py::module m("bitwuzla_utils", "hal BitwuzlaUtilsPlugin python bindings"); +#endif // ifdef PYBIND11_MODULE + + py::class_, BasePluginInterface> py_bitwuzla_utils(m, "BitwuzlaUtilsPlugin"); + py_bitwuzla_utils.def_property_readonly("name", &BitwuzlaUtilsPlugin::get_name); + py_bitwuzla_utils.def("get_name", &BitwuzlaUtilsPlugin::get_name); + py_bitwuzla_utils.def_property_readonly("version", &BitwuzlaUtilsPlugin::get_version); + py_bitwuzla_utils.def("get_version", &BitwuzlaUtilsPlugin::get_version); + + py_bitwuzla_utils.def_static( + "test_on_net", + [](const Netlist* nl, const Net* n) { + const auto comb_gates = nl->get_gates([](const auto& g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + const auto bf_res = bitwuzla_utils::get_subgraph_bitwuzla_function(comb_gates, n); + if (bf_res.is_error()) + { + log_error("bitwuzla_utils", "{}", bf_res.get_error().get()); + return; + } + + const auto bf = bf_res.get(); + + auto vars = bitwuzla_utils::get_variable_names(bf); + std::cout << "vars:" << std::endl; + for (const auto& var : vars) + { + std::cout << "\t" << var << std::endl; + } + std::cout << "BF: " << bf << std::endl; + }, + + R"( + + )"); + + py_bitwuzla_utils.def_static( + "test_on_netlist", + [](const Netlist* nl) { + const auto comb_gates = nl->get_gates([](const auto& g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + + for (const auto& n : nl->get_nets()) + { + const auto bf_res = bitwuzla_utils::get_subgraph_bitwuzla_function(comb_gates, n); + if (bf_res.is_error()) + { + log_error("bitwuzla_utils", "{}", bf_res.get_error().get()); + return; + } + + const auto bf = bf_res.get(); + + std::cout << "BF: " << bf << std::endl; + } + }, + + R"( + + )"); + +#define DURATION_UNIT std::chrono::milliseconds +#define UNIT_STRING " [ms]" + + py_bitwuzla_utils.def_static( + "minimal_substitution", + []() { + // bitwuzla + { + // TODO this requires modifications to bitwuzla + // const bitwuzla::Term a = bitwuzla::mk_var(bitwuzla::mk_bv_sort(1), "a"); + // const bitwuzla::Term b = bitwuzla::mk_var(bitwuzla::mk_bv_sort(1), "b"); + + // const bitwuzla::Term bf = bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {a, b}); + + // const std::unordered_map sub_one_map = {{"a", bitwuzla::mk_bv_one(bitwuzla::mk_bv_sort(1))}}; + // const std::unordered_map sub_zero_map = {{"a", bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(1))}}; + + // const auto bf_sub_one = bitwuzla::substitute_term(bf, sub_one_map); + // const auto bf_sub_zero = bitwuzla::substitute_term(bf, sub_zero_map); + + // std::cout << "Vanilla: " << std::endl; + // std::cout << bf << std::endl; + // bitwuzla_utils::simplify(bf); + + // std::cout << "Sub One: " << std::endl; + // std::cout << bf_sub_one << std::endl; + // bitwuzla_utils::simplify(bf_sub_one); + + // std::cout << "Sub Zero: " << std::endl; + // std::cout << bf_sub_zero << std::endl; + // bitwuzla_utils::simplify(bf_sub_zero); + } + }, + R"( + + )"); + + py_bitwuzla_utils.def_static( + "benchmark_simplification", + [](const Netlist* nl, const std::vector& nets) { + const auto comb_gates = nl->get_gates([](const auto& g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + + // time creation of Boolean functions + + // bitwuzla + { + auto start_bitwuzla_creation = std::chrono::steady_clock::now(); + + const auto res = bitwuzla_utils::get_subgraph_bitwuzla_functions(comb_gates, nets); + if (res.is_error()) + { + log_error("bitwuzla_utils", "{}", res.get_error().get()); + return; + } + const std::vector bitwuzla_functions = res.get(); + + auto end_bitwuzla_creation = std::chrono::steady_clock::now(); + + std::cout << "bitwuzla cached function generation: " << std::chrono::duration_cast(end_bitwuzla_creation - start_bitwuzla_creation).count() << UNIT_STRING + << std::endl; + + auto start_substitution = std::chrono::steady_clock::now(); + for (const auto& bf : bitwuzla_functions) + { + // const auto vars = bitwuzla_utils::get_variable_names(bf); + + // if (vars.empty()) + // { + // std::cout << "Empty..." << std::endl; + // continue; + // } + + // const auto var = *(vars.begin()); + + const std::string var = "net_23914"; + const auto sub_term = bitwuzla::mk_var(bitwuzla::mk_bv_sort(1), var); + + const std::unordered_map sub_one_map = {{sub_term, bitwuzla::mk_bv_one(bitwuzla::mk_bv_sort(1))}}; + const std::unordered_map sub_zero_map = {{sub_term, bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(1))}}; + + const auto bf_sub_one = bitwuzla::substitute_term(bf, sub_one_map); + const auto bf_sub_zero = bitwuzla::substitute_term(bf, sub_zero_map); + + std::cout << "Vanilla: " << std::endl; + std::cout << bf << std::endl; + + std::cout << "Sub Var: " << var << std::endl; + std::cout << "Sub Term: " << sub_term << std::endl; + + std::cout << "Sub One: " << std::endl; + std::cout << bf_sub_one << std::endl; + + std::cout << "Sub Zero: " << std::endl; + std::cout << bf_sub_zero << std::endl; + + // bitwuzla::Options options; + // options.set(bitwuzla::Option::SAT_SOLVER, "cadical"); + // bitwuzla::Bitwuzla bitwuzla(options); + + // bitwuzla.assert_formula(bitwuzla::mk_term(bitwuzla::Kind::NOT, {bitwuzla::mk_term(bitwuzla::Kind::EQUAL, {bf, bf})})); + // bitwuzla::Result result = bitwuzla.check_sat(); + } + auto end_substitution = std::chrono::steady_clock::now(); + + std::cout << "bitwuzla substitution: " << std::chrono::duration_cast(end_substitution - start_substitution).count() << UNIT_STRING << std::endl; + } + }, + R"( + + )"); + + py_bitwuzla_utils.def_static( + "benchmark_threaded_creation", + [](const Netlist* nl, const std::vector& nets, const u32 thread_num) { + const auto comb_gates = nl->get_gates([](const auto& g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + + // time creation of Boolean functions + + // bitwuzla + { + auto start_bitwuzla_creation = std::chrono::steady_clock::now(); + + std::vector bitwuzla_functions(nets.size()); + + const u32 correction = (nets.size() % thread_num) ? 1 : 0; + const u32 packet_size = (nets.size() / thread_num) + correction; + + std::vector workers; + for (u32 i = 0; i < thread_num; i++) + { + const u32 start = std::min(i * packet_size, u32(nets.size() - 1)); + const u32 end = std::min(start + packet_size, u32(nets.size())); + + workers.emplace_back([&nets, &comb_gates, &bitwuzla_functions, start, end]() { + std::map thread_net_cache; + std::map, BooleanFunction> thread_gate_cache; + + for (u32 idx = start; idx < end; idx++) + { + const bitwuzla::Term t = bitwuzla_utils::get_subgraph_bitwuzla_function(comb_gates, nets.at(idx), thread_net_cache, thread_gate_cache).get(); + // bitwuzla_functions.at(idx) = t; + } + }); + } + + // wait for threads to finish + for (auto& worker : workers) + { + worker.join(); + } + + auto end_bitwuzla_creation = std::chrono::steady_clock::now(); + + std::cout << "bitwuzla threaded function creation: " << std::chrono::duration_cast(end_bitwuzla_creation - start_bitwuzla_creation).count() << UNIT_STRING + << std::endl; + } + }, + R"( + + )"); + + py_bitwuzla_utils.def_static( + "benchmark_threaded_solving", + [](const Netlist* nl, const std::vector& nets, const u32 thread_num) { + const auto comb_gates = nl->get_gates([](const auto& g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + + // time creation of Boolean functions + + // bitwuzla + { + auto start_bitwuzla_creation = std::chrono::steady_clock::now(); + + const auto res = bitwuzla_utils::get_subgraph_bitwuzla_functions(comb_gates, nets); + if (res.is_error()) + { + log_error("bitwuzla_utils", "{}", res.get_error().get()); + return; + } + const std::vector bitwuzla_functions = res.get(); + + auto end_bitwuzla_creation = std::chrono::steady_clock::now(); + + std::cout << "bitwuzla cached function generation: " << std::chrono::duration_cast(end_bitwuzla_creation - start_bitwuzla_creation).count() << UNIT_STRING + << std::endl; + + auto start_static_cadical_bitwuzla_solving = std::chrono::steady_clock::now(); + + const u32 correction = (nets.size() % thread_num) ? 1 : 0; + const u32 packet_size = (nets.size() / thread_num) + correction; + + std::vector static_workers; + for (u32 i = 0; i < thread_num; i++) + { + const u32 start = std::min(i * packet_size, u32(nets.size() - 1)); + const u32 end = std::min(start + packet_size, u32(nets.size())); + + static_workers.emplace_back([&bitwuzla_functions, start, end]() { + for (u32 idx = start; idx < end; idx++) + { + bitwuzla::Options options; + options.set(bitwuzla::Option::PRODUCE_MODELS, true); + options.set(bitwuzla::Option::SAT_SOLVER, "cadical"); + bitwuzla::Bitwuzla bitwuzla(options); + + const auto& bf = bitwuzla_functions.at(idx); + + bitwuzla.assert_formula(bitwuzla::mk_term(bitwuzla::Kind::NOT, {bitwuzla::mk_term(bitwuzla::Kind::EQUAL, {bf, bf})})); + bitwuzla::Result result = bitwuzla.check_sat(); + } + }); + } + + // wait for threads to finish + for (auto& worker : static_workers) + { + worker.join(); + } + + auto end_static_cadical_bitwuzla_solving = std::chrono::steady_clock::now(); + + std::cout << "bitwuzla static cadical smt solving: " + << std::chrono::duration_cast(end_static_cadical_bitwuzla_solving - start_static_cadical_bitwuzla_solving).count() << UNIT_STRING << std::endl; + + auto start_dynamic_cadical_bitwuzla_solving = std::chrono::steady_clock::now(); + + std::atomic curr_idx = 0; + + std::vector dynamic_workers; + for (u32 i = 0; i < thread_num; i++) + { + dynamic_workers.emplace_back([&bitwuzla_functions, &curr_idx]() { + while (true) + { + const u32 idx = curr_idx++; + if (idx >= bitwuzla_functions.size()) + { + return; + } + + bitwuzla::Options options; + options.set(bitwuzla::Option::PRODUCE_MODELS, true); + options.set(bitwuzla::Option::SAT_SOLVER, "cadical"); + bitwuzla::Bitwuzla bitwuzla(options); + + const auto& bf = bitwuzla_functions.at(idx); + + bitwuzla.assert_formula(bitwuzla::mk_term(bitwuzla::Kind::NOT, {bitwuzla::mk_term(bitwuzla::Kind::EQUAL, {bf, bf})})); + bitwuzla::Result result = bitwuzla.check_sat(); + } + }); + } + + // wait for threads to finish + for (auto& worker : dynamic_workers) + { + worker.join(); + } + + auto end_dynamic_cadical_bitwuzla_solving = std::chrono::steady_clock::now(); + + std::cout << "bitwuzla dynamic cadical smt solving: " + << std::chrono::duration_cast(end_dynamic_cadical_bitwuzla_solving - start_dynamic_cadical_bitwuzla_solving).count() << UNIT_STRING << std::endl; + } + }, + R"( + + )"); + + py_bitwuzla_utils.def_static( + "benchmark", + [](const Netlist* nl, const std::vector& nets) { + const auto comb_gates = nl->get_gates([](const auto& g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + + // time creation of Boolean functions + + // TODO + // time variable names, simplification + // measure simplification quality? + + // // z3 + // { + // std::vector z3_functions; + // z3::context ctx; + // auto start_z3_creation = std::chrono::steady_clock::now(); + // for (const auto& n : nets) + // { + // const auto bf_res = z3_utils::get_subgraph_z3_function(comb_gates, n, ctx); + // if (bf_res.is_error()) + // { + // log_error("bitwuzla_utils", "{}", bf_res.get_error().get()); + // return; + // } + // const auto bf = bf_res.get(); + // z3_functions.push_back(bf); + // } + // auto end_z3_creation = std::chrono::steady_clock::now(); + + // std::cout << "z3 function generation: " << std::chrono::duration_cast(end_z3_creation - start_z3_creation).count() << UNIT_STRING << std::endl; + // } + + // // z3 + // { + // z3::context ctx; + // auto start_z3_creation = std::chrono::steady_clock::now(); + + // const auto res = z3_utils::get_subgraph_z3_functions(comb_gates, nets, ctx); + // if (res.is_error()) + // { + // log_error("bitwuzla_utils", "{}", res.get_error().get()); + // return; + // } + // const std::vector z3_functions = res.get(); + + // auto end_z3_creation = std::chrono::steady_clock::now(); + + // std::cout << "z3 cached function generation: " << std::chrono::duration_cast(end_z3_creation - start_z3_creation).count() << UNIT_STRING << std::endl; + + // auto start_z3_solving = std::chrono::steady_clock::now(); + // for (const auto& bf : z3_functions) + // { + // z3::solver s(ctx); + // s.add(bf != bf); + // auto res = s.check(); + // } + // auto end_z3_solving = std::chrono::steady_clock::now(); + + // std::cout << "z3 smt solving: " << std::chrono::duration_cast(end_z3_solving - start_z3_solving).count() << UNIT_STRING << std::endl; + // } + + // // bitwuzla + // { + // std::vector bitwuzla_functions; + // auto start_bitwuzla_creation = std::chrono::steady_clock::now(); + // for (const auto& n : nets) + // { + // const auto bf_res = bitwuzla_utils::get_subgraph_bitwuzla_function(comb_gates, n); + // if (bf_res.is_error()) + // { + // log_error("bitwuzla_utils", "{}", bf_res.get_error().get()); + // return; + // } + // const auto bf = bf_res.get(); + // bitwuzla_functions.push_back(bf); + // } + // auto end_bitwuzla_creation = std::chrono::steady_clock::now(); + + // std::cout << "bitwuzla function generation: " << std::chrono::duration_cast(end_bitwuzla_creation - start_bitwuzla_creation).count() << UNIT_STRING + // << std::endl; + // } + + // bitwuzla + { + auto start_bitwuzla_creation = std::chrono::steady_clock::now(); + + const auto res = bitwuzla_utils::get_subgraph_bitwuzla_functions(comb_gates, nets); + if (res.is_error()) + { + log_error("bitwuzla_utils", "{}", res.get_error().get()); + return; + } + const std::vector bitwuzla_functions = res.get(); + + auto end_bitwuzla_creation = std::chrono::steady_clock::now(); + + std::cout << "bitwuzla cached function generation: " << std::chrono::duration_cast(end_bitwuzla_creation - start_bitwuzla_creation).count() << UNIT_STRING + << std::endl; + + auto start_cadical_bitwuzla_solving = std::chrono::steady_clock::now(); + for (const auto& bf : bitwuzla_functions) + { + bitwuzla::Options options; + options.set(bitwuzla::Option::PRODUCE_MODELS, true); + options.set(bitwuzla::Option::SAT_SOLVER, "cadical"); + bitwuzla::Bitwuzla bitwuzla(options); + + bitwuzla.assert_formula(bitwuzla::mk_term(bitwuzla::Kind::NOT, {bitwuzla::mk_term(bitwuzla::Kind::EQUAL, {bf, bf})})); + bitwuzla::Result result = bitwuzla.check_sat(); + } + auto end_cadical_bitwuzla_solving = std::chrono::steady_clock::now(); + + std::cout << "bitwuzla cadical smt solving: " << std::chrono::duration_cast(end_cadical_bitwuzla_solving - start_cadical_bitwuzla_solving).count() + << UNIT_STRING << std::endl; + + auto start_kissat_bitwuzla_solving = std::chrono::steady_clock::now(); + for (const auto& bf : bitwuzla_functions) + { + bitwuzla::Options options; + options.set(bitwuzla::Option::PRODUCE_MODELS, true); + options.set(bitwuzla::Option::SAT_SOLVER, "kissat"); + bitwuzla::Bitwuzla bitwuzla(options); + + bitwuzla.assert_formula(bitwuzla::mk_term(bitwuzla::Kind::NOT, {bitwuzla::mk_term(bitwuzla::Kind::EQUAL, {bf, bf})})); + bitwuzla::Result result = bitwuzla.check_sat(); + } + auto end_kissat_bitwuzla_solving = std::chrono::steady_clock::now(); + + std::cout << "bitwuzla kissat smt solving: " << std::chrono::duration_cast(end_kissat_bitwuzla_solving - start_kissat_bitwuzla_solving).count() + << UNIT_STRING << std::endl; + } + + // if (nets.size() > 100) + // { + // std::cout << "NO DATA - HAL is prone to cause a RAM overflow when benchmarking for more than a few nets" << std::endl; + // return; + // } + + // // hal + // { + // auto start_hal_creation = std::chrono::steady_clock::now(); + // std::vector hal_functions; + // for (const auto& n : nets) + // { + // const auto bf_res = SubgraphNetlistDecorator(*nl).get_subgraph_function(comb_gates, n); + // if (bf_res.is_error()) + // { + // log_error("bitwuzla_utils", "{}", bf_res.get_error().get()); + // return; + // } + // const auto bf = bf_res.get(); + // hal_functions.push_back(bf); + // } + // auto end_hal_creation = std::chrono::steady_clock::now(); + + // std::cout << "hal function generation: " << std::chrono::duration_cast(end_hal_creation - start_hal_creation).count() << UNIT_STRING << std::endl; + // } + + // // hal + // { + // auto start_hal_creation = std::chrono::steady_clock::now(); + // std::vector hal_functions; + // std::map, BooleanFunction> gate_cache; + // for (const auto& n : nets) + // { + // const auto bf_res = SubgraphNetlistDecorator(*nl).get_subgraph_function(comb_gates, n, gate_cache); + // if (bf_res.is_error()) + // { + // log_error("bitwuzla_utils", "{}", bf_res.get_error().get()); + // return; + // } + // const auto bf = bf_res.get(); + // hal_functions.push_back(bf); + // } + // auto end_hal_creation = std::chrono::steady_clock::now(); + + // std::cout << "hal cached function generation: " << std::chrono::duration_cast(end_hal_creation - start_hal_creation).count() << UNIT_STRING << std::endl; + + // auto start_hal_smt2_generation = std::chrono::steady_clock::now(); + // std::vector smt2_strings; + // for (const auto& bf : hal_functions) + // { + // auto cfg = SMT::QueryConfig().with_call(SMT::SolverCall::Library).with_solver(SMT::SolverType::Z3).with_local_solver().with_model_generation(); + // auto s = SMT::Solver().with_constraint(SMT::Constraint(BooleanFunction::Not(BooleanFunction::Eq(bf.clone(), bf.clone(), 1).get(), 1).get())); + // smt2_strings.push_back(s.to_smt2(cfg).get()); + // } + // auto end_hal_smt2_generation = std::chrono::steady_clock::now(); + + // std::cout << "hal smt2 generation: " << std::chrono::duration_cast(end_hal_smt2_generation - start_hal_smt2_generation).count() << UNIT_STRING + // << std::endl; + + // auto start_hal_bitwuzla_smt2 = std::chrono::steady_clock::now(); + // for (const auto& s : smt2_strings) + // { + // bitwuzla::Options options; + // options.set(bitwuzla::Option::PRODUCE_MODELS, true); + + // const char* smt2_char_string = s.c_str(); + + // auto in_stream = fmemopen((void*)smt2_char_string, strlen(smt2_char_string), "r"); + // std::stringbuf result_string; + // std::ostream output_stream(&result_string); + + // bitwuzla::parser::Parser p(options, "VIRTUAL_FILE", in_stream, "smt2", &output_stream); + // std::string err_msg = p.parse(false); + + // if (!err_msg.empty()) + // { + // log_error("bitwuzla_utils", "{}", err_msg); + // } + + // fclose(in_stream); + + // // std::string output(result_string.str()); + + // // return OK({false, output}); + // } + // auto end_hal_bitwuzla_smt2 = std::chrono::steady_clock::now(); + + // std::cout << "hal bitwuzla smt2 solving: " << std::chrono::duration_cast(end_hal_bitwuzla_smt2 - start_hal_bitwuzla_smt2).count() << UNIT_STRING + // << std::endl; + + // auto start_hal_z3_library_solving = std::chrono::steady_clock::now(); + // for (const auto& bf : hal_functions) + // { + // auto cfg = SMT::QueryConfig().with_call(SMT::SolverCall::Library).with_solver(SMT::SolverType::Z3).with_local_solver().with_model_generation(); + // auto s = SMT::Solver().with_constraint(SMT::Constraint(BooleanFunction::Not(BooleanFunction::Eq(bf.clone(), bf.clone(), 1).get(), 1).get())); + // auto res = s.query(cfg); + // } + // auto end_hal_z3_library_solving = std::chrono::steady_clock::now(); + + // std::cout << "hal-z3-library smt solving: " << std::chrono::duration_cast(end_hal_z3_library_solving - start_hal_z3_library_solving).count() << UNIT_STRING + // << std::endl; + + // auto start_hal_bitwuzla_library_solving = std::chrono::steady_clock::now(); + // for (const auto& bf : hal_functions) + // { + // auto cfg = SMT::QueryConfig().with_call(SMT::SolverCall::Library).with_solver(SMT::SolverType::Bitwuzla).with_local_solver().with_model_generation(); + // auto s = SMT::Solver().with_constraint(SMT::Constraint(BooleanFunction::Not(BooleanFunction::Eq(bf.clone(), bf.clone(), 1).get(), 1).get())); + // auto res = s.query(cfg); + // } + // auto end_hal_bitwuzla_library_solving = std::chrono::steady_clock::now(); + + // std::cout << "hal-bitwuzla-library smt solving: " << std::chrono::duration_cast(end_hal_bitwuzla_library_solving - start_hal_bitwuzla_library_solving).count() + // << UNIT_STRING << std::endl; + // } + }, + R"( + + )"); + +#ifndef PYBIND11_MODULE + return m.ptr(); +#endif // PYBIND11_MODULE + } +} // namespace hal diff --git a/plugins/bitwuzla_utils/smt_benchmarks.py b/plugins/bitwuzla_utils/smt_benchmarks.py new file mode 100644 index 00000000000..b1e33eba512 --- /dev/null +++ b/plugins/bitwuzla_utils/smt_benchmarks.py @@ -0,0 +1,28 @@ +#!/usr/bin/env python3 +import sys, os + +#some necessary configuration: +base_path = "/home/simon/projects/hal/" + +sys.path.append(base_path + "build/lib/") #this is where your hal python lib is located +os.environ["HAL_BASE_PATH"] = base_path + "build" # hal base path +import hal_py + +#initialize HAL +hal_py.plugin_manager.load_all_plugins() + +from hal_plugins import bitwuzla_utils + + +netlist_path = "/home/simon/workspaces/2024_01_31/hal_project_ibex/ibex/ibex.hal" +gate_lib_path = "/home/simon/workspaces/2024_01_31/hal_project_ibex/ibex/XILINX_UNISIM_hal.hgl" + +netlist = hal_py.NetlistFactory.load_netlist(netlist_path, gate_lib_path) + +bitwuzla_utils.BitwuzlaUtilsPlugin.benchmark(netlist, netlist.get_nets()) + +#unload everything hal related +hal_py.plugin_manager.unload_all_plugins() + + + diff --git a/plugins/bitwuzla_utils/src/bitwuzla_expr.cpp b/plugins/bitwuzla_utils/src/bitwuzla_expr.cpp new file mode 100644 index 00000000000..7e45cd607e6 --- /dev/null +++ b/plugins/bitwuzla_utils/src/bitwuzla_expr.cpp @@ -0,0 +1,186 @@ +// #include "bitwuzla_utils/bitwuzla_expr.h" + +// #include + +// namespace hal +// { +// namespace bitwuzla_utils +// { +// namespace bw +// { +// int expr::bv_size() +// { +// return (this->m_term).sort().bv_size(); +// } +// int expr::get_value() +// { +// return this->m_value; +// } + +// expr expr::bw_const(BooleanFunction::Value value) +// { +// bitwuzla::Term term; +// switch (value) +// { +// case BooleanFunction::Value::ONE: +// term = bitwuzla::mk_true(); +// break; +// case BooleanFunction::Value::ZERO: +// term = bitwuzla::mk_false(); +// break; +// case BooleanFunction::Value::X: +// term = bitwuzla::mk_const(bitwuzla::mk_bool_sort(), "X"); +// break; +// case BooleanFunction::Value::Z: +// term = bitwuzla::mk_const(bitwuzla::mk_bool_sort(), "Z"); +// break; +// } +// return expr(term); +// } +// expr expr::variable(std::string name) +// { +// bitwuzla::Term term = bitwuzla::mk_var(bitwuzla::mk_bool_sort(), name); +// return expr(term); +// } +// expr expr::CONCAT(expr expr, BooleanFunction::Value value) +// { +// return CONCAT(expr, bw_const(value)); +// } +// expr expr::CONCAT(BooleanFunction::Value value, expr expr) +// { +// return CONCAT(bw_const(value), expr); +// } +// expr expr::CONCAT(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_CONCAT, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } + +// expr expr::AND(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::AND, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::OR(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::OR, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::NOT(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::NOT, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::XOR(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::XOR, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::ADD(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_ADD, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::SUB(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_SUB, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::MUL(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_MUL, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::SDIV(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_SDIV, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::UDIV(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_UDIV, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::SREM(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_SREM, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::UREM(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_UREM, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::SLICE(expr expr1, u64 start, u64 end) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_EXTRACT, {expr1.m_term}, std::vector{start, end}); +// return expr(term); +// } +// expr expr::ZEXT(expr expr1, u64 size) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_ZERO_EXTEND, {expr1.m_term}, {size}); +// return expr(term); +// } +// expr expr::SEXT(expr expr1, u64 size) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_SIGN_EXTEND, {expr1.m_term}, {size}); +// return expr(term); +// } +// expr expr::SHL(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_SHL, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::LSHR(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_SHR, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::ASHR(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_ASHR, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::ROR(expr expr1, u64 amount) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_ROR, {expr1.m_term}, {amount}); +// return expr(term); +// } +// expr expr::ROL(expr expr1, u64 amount) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_ROL, {expr1.m_term}, {amount}); +// return expr(term); +// } +// expr expr::EQ(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::EQUAL, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::SLE(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_SLE, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::SLT(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_SLT, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::ULE(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_ULE, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::ULT(expr expr1, expr expr2) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::BV_ULT, {expr1.m_term, expr2.m_term}); +// return expr(term); +// } +// expr expr::ITE(expr expr1, expr expr2, expr expr3) +// { +// bitwuzla::Term term = bitwuzla::mk_term(bitwuzla::Kind::ITE, {expr1.m_term, expr2.m_term, expr3.m_term}); +// return expr(term); +// } +// } // namespace bw +// } // namespace bitwuzla_utils +// } // namespace hal diff --git a/plugins/bitwuzla_utils/src/bitwuzla_utils.cpp b/plugins/bitwuzla_utils/src/bitwuzla_utils.cpp new file mode 100644 index 00000000000..ed1a080428a --- /dev/null +++ b/plugins/bitwuzla_utils/src/bitwuzla_utils.cpp @@ -0,0 +1,707 @@ +//#include "bitwuzla_utils/bitwuzla_utils.h" + +#include "bitwuzla_utils/symbolic_execution.h" +#include "hal_core/defines.h" +#include "hal_core/utilities/log.h" +#include "hal_core/utilities/utils.h" + +namespace hal +{ + namespace bitwuzla_utils + { + namespace + { + Result reduce_to_bitwuzla_term(const BooleanFunction::Node& node, std::vector&& p, const std::map& var2term = {}) + { + if (node.get_arity() != p.size()) + { + return ERR("trying to append two nodes of unequal size"); + } + + switch (node.type) + { + case BooleanFunction::NodeType::Index: + return OK(bitwuzla::mk_bv_value_uint64(bitwuzla::mk_bv_sort(node.size), node.index)); + case BooleanFunction::NodeType::Constant: { + // since our constants are defined as arbitrary bit-vectors, + // we have to concat each bit just to be on the safe side + auto constant = (node.constant.front() == BooleanFunction::Value::ONE) ? bitwuzla::mk_bv_one(bitwuzla::mk_bv_sort(1)) : bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(1)); + for (u32 i = 1; i < node.constant.size(); i++) + { + const auto bit = (node.constant.at(i) == BooleanFunction::Value::ONE) ? bitwuzla::mk_bv_one(bitwuzla::mk_bv_sort(1)) : bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(1)); + constant = bitwuzla::mk_term(bitwuzla::Kind::BV_CONCAT, {bit, constant}); + } + return OK(constant); + } + case BooleanFunction::NodeType::Variable: { + if (auto it = var2term.find(node.variable); it != var2term.end()) + { + return OK(it->second); + } + + return OK(bitwuzla::mk_var(bitwuzla::mk_bv_sort(node.size), node.variable)); + } + case BooleanFunction::NodeType::And: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p[0], p[1]})); + case BooleanFunction::NodeType::Or: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p[0], p[1]})); + case BooleanFunction::NodeType::Not: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_NOT, {p[0]})); + case BooleanFunction::NodeType::Xor: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_XOR, {p[0], p[1]})); + case BooleanFunction::NodeType::Add: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_ADD, {p[0], p[1]})); + case BooleanFunction::NodeType::Sub: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SUB, {p[0], p[1]})); + case BooleanFunction::NodeType::Mul: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_MUL, {p[0], p[1]})); + case BooleanFunction::NodeType::Sdiv: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SDIV, {p[0], p[1]})); + case BooleanFunction::NodeType::Udiv: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_UDIV, {p[0], p[1]})); + case BooleanFunction::NodeType::Srem: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SREM, {p[0], p[1]})); + case BooleanFunction::NodeType::Urem: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_UREM, {p[0], p[1]})); + case BooleanFunction::NodeType::Concat: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_CONCAT, {p[0], p[1]})); + case BooleanFunction::NodeType::Slice: + return OK(bitwuzla::mk_term( + bitwuzla::Kind::BV_EXTRACT, {p[0]}, std::vector{u64(std::stoi(p[2].value(), nullptr, 2)), u64(std::stoi(p[1].value(), nullptr, 2))})); + case BooleanFunction::NodeType::Zext: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_ZERO_EXTEND, {p[0]}, {u64(std::stoi(p[1].value(), nullptr, 2)) - p[0].sort().bv_size()})); + case BooleanFunction::NodeType::Sext: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SIGN_EXTEND, {p[0]}, {u64(std::stoi(p[1].value(), nullptr, 2)) - p[0].sort().bv_size()})); + case BooleanFunction::NodeType::Shl: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SHL, {p[0], p[1]})); + case BooleanFunction::NodeType::Lshr: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SHR, {p[0], p[1]})); + case BooleanFunction::NodeType::Ashr: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_ASHR, {p[0], p[1]})); + case BooleanFunction::NodeType::Rol: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_ROL, {p[0], p[1]})); + case BooleanFunction::NodeType::Ror: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_ROR, {p[0], p[1]})); + case BooleanFunction::NodeType::Eq: + return OK(bitwuzla::mk_term(bitwuzla::Kind::EQUAL, {p[0], p[1]})); + case BooleanFunction::NodeType::Sle: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SLE, {p[0], p[1]})); + case BooleanFunction::NodeType::Slt: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SLT, {p[0], p[1]})); + case BooleanFunction::NodeType::Ule: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_ULE, {p[0], p[1]})); + case BooleanFunction::NodeType::Ult: + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_ULT, {p[0], p[1]})); + case BooleanFunction::NodeType::Ite: + return OK(bitwuzla::mk_term(bitwuzla::Kind::ITE, {p[0], p[1], p[2]})); + default: + log_error("bitwuzla_utils", "Not implemented reached for nodetype {} in z3 conversion", node.type); + return ERR("Not implemented reached"); + } + + return ERR("Not implemented reached"); + }; + + } // namespace + + Result from_bf(const BooleanFunction& bf, const std::map& var2term) + { + std::vector stack; + for (const auto& node : bf.get_nodes()) + { + std::vector operands; + std::move(stack.end() - static_cast(node.get_arity()), stack.end(), std::back_inserter(operands)); + stack.erase(stack.end() - static_cast(node.get_arity()), stack.end()); + + auto res = reduce_to_bitwuzla_term(node, std::move(operands)); + if (res.is_ok()) + { + stack.emplace_back(res.get()); + } + else + { + return ERR_APPEND(res.get_error(), "could not create boolean function for node"); + } + } + + switch (stack.size()) + { + case 1: + return OK(stack.back()); + default: + return ERR("all nodes somehow didnt get finished"); + } + } + + Result to_bf(const bitwuzla::Term& t) + { + u64 size; + if (t.sort().is_bv()) + { + size = t.sort().bv_size(); + + if (size > 64) + { + return ERR("can only translate bit vector sizes < 64, but input bit vector has size " + std::to_string(size)); + } + + if (t.is_value()) + { + const auto res = utils::wrapped_stoull(t.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + + return OK(BooleanFunction::Const(res.get(), size)); + } + else if (t.is_const()) + { + const auto name = t.symbol(); + if (!name.has_value()) + { + return ERR("cannot translate term to hal Boolean function: failed to extract symbol"); + } + return OK(BooleanFunction::Var(name.value(), size)); + } + else if (t.is_variable()) + { + const auto name = t.symbol(); + if (!name.has_value()) + { + return ERR("cannot translate term to hal Boolean function: failed to extract symbol"); + } + return OK(BooleanFunction::Var(name.value(), size)); + } + } + // else + // { + // return ERR("failed to translate term to hal Boolean function: term is of unhandeld sort : " + t.sort().str()); + // } + + const auto op = t.kind(); // TODO: const auto op = e.decl().decl_kind(); + auto num_args = t.num_children(); // TODO auto num_args = e.num_args(); + std::vector children = t.children(); + std::vector args; + + for (const auto& child : children) // TODO + { + const auto arg = child; + if (const auto res = to_bf(arg); res.is_ok()) + { + args.push_back(std::move(res.get())); + } + else + { + return ERR(res.get_error()); + } + } + + switch (op) + { + case bitwuzla::Kind::BV_AND: { + auto bf_res = BooleanFunction::And(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::And(std::move(bf), std::move(arg), size); }); + } + return bf_res; + } + case bitwuzla::Kind::BV_OR: { + auto bf_res = BooleanFunction::Or(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Or(std::move(bf), std::move(arg), size); }); + } + return bf_res; + } + case bitwuzla::Kind::BV_NOT: { + if (num_args != 1) + { + return ERR("operation 'NOT' must have arity 1"); + } + return BooleanFunction::Not(std::move(args.at(0)), size); + } + case bitwuzla::Kind::BV_XOR: { + auto bf_res = BooleanFunction::Xor(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Xor(std::move(bf), std::move(arg), size); }); + } + return bf_res; + } + case bitwuzla::Kind::BV_ADD: { + auto bf_res = BooleanFunction::Add(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Add(std::move(bf), std::move(arg), size); }); + } + return bf_res; + } + case bitwuzla::Kind::BV_SUB: { + auto bf_res = BooleanFunction::Sub(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Sub(std::move(bf), std::move(arg), size); }); + } + return bf_res; + } + case bitwuzla::Kind::BV_MUL: { + auto bf_res = BooleanFunction::Mul(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Mul(std::move(bf), std::move(arg), size); }); + } + return bf_res; + } + case bitwuzla::Kind::BV_SDIV: + if (num_args != 2) + { + return ERR("operation 'SDIV' must have arity 2"); + } + return BooleanFunction::Sdiv(std::move(args.at(0)), std::move(args.at(1)), size); + case bitwuzla::Kind::BV_UDIV: + if (num_args != 2) + { + return ERR("operation 'UDIV' must have arity 2"); + } + return BooleanFunction::Udiv(std::move(args.at(0)), std::move(args.at(1)), size); + case bitwuzla::Kind::BV_SREM: + if (num_args != 2) + { + return ERR("operation 'SREM' must have arity 2"); + } + return BooleanFunction::Srem(std::move(args.at(0)), std::move(args.at(1)), size); + case bitwuzla::Kind::BV_UREM: + if (num_args != 2) + { + return ERR("operation 'UREM' must have arity 2"); + } + return BooleanFunction::Urem(std::move(args.at(0)), std::move(args.at(1)), size); + case bitwuzla::Kind::BV_CONCAT: { + auto bf_res = BooleanFunction::Concat(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = + bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Concat(std::move(bf), std::move(arg), size); }); + } + return bf_res; + } + case bitwuzla::Kind::BV_EXTRACT: { + if (num_args != 1) + { + return ERR("operation 'SLICE' must have arity 1"); + } + + const u32 operand_size = args.at(0).size(); + + const u32 start = t.indices().at(0); + const u32 end = t.indices().at(1); + + return BooleanFunction::Slice(std::move(args.at(0)), BooleanFunction::Index(end, operand_size), BooleanFunction::Index(start, operand_size), size); + } + case bitwuzla::Kind::BV_ZERO_EXTEND: { + if (num_args != 1) + { + return ERR("operation 'ZEXT' must have arity 1"); + } + + return BooleanFunction::Zext(std::move(args.at(0)), BooleanFunction::Index(size, size), size); + } + case bitwuzla::Kind::BV_SIGN_EXTEND: { + if (num_args != 1) + { + return ERR("operation 'SEXT' must have arity 1"); + } + + return BooleanFunction::Sext(std::move(args.at(0)), BooleanFunction::Index(size, size), size); + } + case bitwuzla::Kind::BV_SHL: + if (num_args != 2) + { + return ERR("operation 'SHL' must have arity 2"); + } + + if (!args.at(1).is_constant()) + { + return ERR("cannot translate to hal Boolean function: failed to extract index value"); + } + + return BooleanFunction::Shl(std::move(args.at(0)), BooleanFunction::Index(args.at(1).get_constant_value_u64().get(), size), size); + case bitwuzla::Kind::BV_SHR: + if (num_args != 2) + { + return ERR("operation 'LSHR' must have arity 2"); + } + + if (!args.at(1).is_constant()) + { + return ERR("cannot translate to hal Boolean function: failed to extract index value"); + } + + return BooleanFunction::Lshr(std::move(args.at(0)), BooleanFunction::Index(args.at(1).get_constant_value_u64().get(), size), size); + + case bitwuzla::Kind::BV_ASHR: + if (num_args != 2) + { + return ERR("operation 'ASHR' must have arity 2"); + } + + if (!args.at(1).is_constant()) + { + return ERR("cannot translate to hal Boolean function: failed to extract index value"); + } + + return BooleanFunction::Ashr(std::move(args.at(0)), BooleanFunction::Index(args.at(1).get_constant_value_u64().get(), size), size); + case bitwuzla::Kind::BV_ROL: + if (num_args != 2) + { + return ERR("operation 'ROL' must have arity 1"); + } + + if (!args.at(1).is_constant()) + { + return ERR("cannot translate to hal Boolean function: failed to extract index value"); + } + + return BooleanFunction::Rol(std::move(args.at(0)), BooleanFunction::Index(args.at(1).get_constant_value_u64().get(), size), size); + case bitwuzla::Kind::BV_ROR: + if (num_args != 2) + { + return ERR("operation 'ROR' must have arity 2"); + } + + if (!args.at(1).is_constant()) + { + return ERR("cannot translate to hal Boolean function: failed to extract index value"); + } + + return BooleanFunction::Ror(std::move(args.at(0)), BooleanFunction::Index(args.at(1).get_constant_value_u64().get(), size), size); + case bitwuzla::Kind::EQUAL: + if (num_args != 2) + { + return ERR("operation 'EQ' must have arity 2"); + } + return BooleanFunction::Eq(std::move(args.at(0)), std::move(args.at(1)), 1); + case bitwuzla::Kind::BV_SLE: + if (num_args != 2) + { + return ERR("operation 'SLE' must have arity 2"); + } + return BooleanFunction::Sle(std::move(args.at(0)), std::move(args.at(1)), 1); + case bitwuzla::Kind::BV_SLT: + if (num_args != 2) + { + return ERR("operation 'SLT' must have arity 2"); + } + return BooleanFunction::Slt(std::move(args.at(0)), std::move(args.at(1)), 1); + case bitwuzla::Kind::BV_ULE: + if (num_args != 2) + { + return ERR("operation 'ULE' must have arity 2"); + } + return BooleanFunction::Ule(std::move(args.at(0)), std::move(args.at(1)), 1); + case bitwuzla::Kind::BV_ULT: + if (num_args != 2) + { + return ERR("operation 'ULT' must have arity 2"); + } + return BooleanFunction::Ult(std::move(args.at(0)), std::move(args.at(1)), 1); + case bitwuzla::Kind::ITE: + if (num_args != 3) + { + return ERR("operation 'ITE' must have arity 3"); + } + return BooleanFunction::Ite(std::move(args.at(0)), std::move(args.at(1)), std::move(args.at(2)), size); + default: + return ERR("operation '" + std::to_string(op) + "' with arity " + std::to_string(num_args) + " is not yet implemented"); + } + } + + std::set get_variable_names(const bitwuzla::Term& t) + { + std::set var_names; + + // get inputs from smt2 string, much faster than iterating over z3 things + const auto smt = to_smt2(t); + + std::cout << smt << std::endl; + + std::istringstream iss(smt); + for (std::string line; std::getline(iss, line);) + { + if (line.find("declare-const") != std::string::npos) + { + auto start_index = line.find_first_of(' ') + 1; // variable name starts after the first space + auto end_index = line.find_first_of(' ', start_index); + + if (start_index == std::string::npos + 1 || end_index == std::string::npos) + { + log_error("bitwuzla_utils", "Some variables in line '{}' do not seem to fit in our handled format!", line); + continue; + } + + auto var_name = line.substr(start_index, end_index - start_index); + var_names.insert(var_name); + } + } + + return var_names; + } + + std::string to_smt2(const bitwuzla::Term& t) + { + auto bw = bitwuzla::Bitwuzla(); + bw.assert_formula(bitwuzla::mk_term(bitwuzla::Kind::EQUAL, {t, bitwuzla::mk_bv_one(bitwuzla::mk_bv_sort(t.sort().bv_size()))})); + std::stringbuf result_string; + std::ostream output_stream(&result_string); + bw.print_formula(output_stream, "smt2"); + std::string output(result_string.str()); + return output; + } + Result simplify(const bitwuzla::Term& t) + { + std::map res; + return simplify(t, res); + } + Result simplify(const bitwuzla::Term& t, std::map& id_to_term) + { + bitwuzla::Term current = t; + bitwuzla::Term before; + std::map resulting_id_to_term; + do + { + before = current; + auto simplified = SMT::SymbolicExecution().evaluate(current, id_to_term,resulting_id_to_term); + if (simplified.is_error()) + { + return ERR_APPEND(simplified.get_error(), "could not apply local simplification: symbolic execution failed"); + } + current = simplified.get(); + } while (before != current); + id_to_term =resulting_id_to_term; + return OK(current); + } + //actual returns Terms inside a Term for each variable + // way slower than SMT string but this way IDs can be used + Result> get_variables(const bitwuzla::Term& t) + { + // + std::vector result; + if (t.num_children() > 0) + { + auto children = t.children(); + for (const auto cur_child : children) + { + auto child_res = get_variables(cur_child).get(); + for(auto cur_child: child_res) + { + bool doubled = false; + for(auto term: result) + { + if(term.id() == t.id()) + { + doubled = true; + break; + } + } + if(!doubled) + { + result.push_back(t); + } + } + } + } + else + { + if(t.is_variable()) + { + bool doubled = false; + for(auto term: result) + { + if(term.id() == t.id()) + { + doubled = true; + break; + } + } + if(!doubled) + { + result.push_back(t); + } + + } + } + return OK(result); + } + Result substitue(const bitwuzla::Term& t,std::map< u64,bitwuzla::Term>& id_to_term) + { + + std::map res; + auto simplified = SMT::SymbolicExecution().evaluate(t,id_to_term ,res); + if (simplified.is_error()) + { + return ERR_APPEND(simplified.get_error(), "could not apply local substitution: symbolic execution failed"); + } + return simplified; + } + Result evaluate(const bitwuzla::Term& t,std::map& id_to_value) + { + auto variables = get_variables(t); + + std::map< u64,bitwuzla::Term> id_to_initial_term; + if(variables.is_error()) + { + return ERR("Could not resolve variables of given Term."); + } + if(variables.get().size() != id_to_value.size()) + { + return ERR("Variables given are not the same amount as expected."); + } + for(auto current_var: variables.get()) + { + if(id_to_value.find(current_var.id()) == id_to_value.end()) + { + return ERR("Did not specify value for variable "+current_var.str()); + } + else{ + id_to_initial_term[current_var.id()] =current_var; + } + } + + std::map< u64,bitwuzla::Term> id_to_term; + for(auto [id,value] :id_to_value) + { + u64 arity = id_to_initial_term[id].sort().bv_size(); + if((value >> arity)!= 0) + { + return ERR("The given value for variable " + id_to_initial_term[id].str() + " does not fit into the size of that variable."); + } + id_to_term[id] =bitwuzla::mk_bv_value_uint64(bitwuzla::mk_bv_sort(arity), value); + } + + bitwuzla::Term current = t; + bitwuzla::Term before; + do + { + before = current; + std::map res; + auto simplified = SMT::SymbolicExecution().evaluate(current, id_to_term,res); + if (simplified.is_error()) + { + return ERR_APPEND(simplified.get_error(), "could not apply constant propagation: symbolic execution failed"); + } + current = simplified.get(); + } while (before != current); + if(current.is_const()) + { + return OK(current); + } + return ERR("Could not resolve inputs to constant value."); + } + + } // namespace bitwuzla_utils +} // namespace hal + +// namespace bw +// { +// namespace +// { +// Result reduce_to_bw(const auto& node, auto&& p) +// { +// if (node.get_arity() != p.size()) +// { +// return ERR("trying to append two nodes of unequal size"); +// } + +// switch (node.type) +// { +// case BooleanFunction::NodeType::Index: +// return expr(node.index); //{true, context.bv_val(node.index, node.size)}; +// case BooleanFunction::NodeType::Constant: { +// // since our constants are defined as arbitrary bit-vectors, +// // we have to concat each bit just to be on the safe side +// auto const = context.bw_const(node.constant.front()); +// for (u32 i = 1; i < node.constant.size(); i++) +// { +// const auto bit = node.constant.at(i); +// constant = bw::concat(context.bv_val(bit, 1), constant); +// } +// return OK(constant); +// } +// case BooleanFunction::NodeType::Variable: { +// if (auto it = var2expr.find(node.variable); it != var2expr.end()) +// { +// return {true, it->second}; +// } +// return {true, context.bv_const(node.variable.c_str(), node.size)}; +// } + +// case BooleanFunction::NodeType::And: +// return OK(AND(p[0], p[1])); +// case BooleanFunction::NodeType::Or: +// return OK(OR(p[0], p[1])) case BooleanFunction::NodeType::Not: +// return OK(NOT(p[0], p[1])) case BooleanFunction::NodeType::Xor: +// return OK(XOR(p[0], p[1])) case BooleanFunction::NodeType::Add: +// return OK(ADD(p[0], p[1])) case BooleanFunction::NodeType::Sub: +// return OK(SUB(p[0], p[1])) case BooleanFunction::NodeType::Mul: +// return OK(MUL(p[0], p[1])) case BooleanFunction::NodeType::Sdiv: +// return OK(SDIV(p[0], p[1])) case BooleanFunction::NodeType::Udiv: +// return OK(UDIV(p[0], p[1])) case BooleanFunction::NodeType::Srem: +// return OK(SREM(p[0], p[1])) case BooleanFunction::NodeType::Urem: +// return OK(UREM(p[0], p[1])) case BooleanFunction::NodeType::Concat: +// return OK(CONCAT(p[0], p[1])) case BooleanFunction::NodeType::Slice: +// return OK(SLICE(p[0], p[2].get_value(), p[1].get_value())); +// case BooleanFunction::NodeType::Zext: +// return OK(ZEXT(p[0], p[1].get_value() - p[0].bv_size())); +// case BooleanFunction::NodeType::Sext: +// return OK(SEXT(p[0], p[1].get_value() - p[0].bv_size())); +// case BooleanFunction::NodeType::Shl: +// return OK(SHL(p[0], p[1])) case BooleanFunction::NodeType::Lshr: +// return OK(LSHR(p[0], p[1])) case BooleanFunction::NodeType::Ashr: +// return OK(ASHR(p[0], p[1])) case BooleanFunction::NodeType::Rol: +// return OK(ROL(p[0], p[1].get_value())) case BooleanFunction::NodeType::Ror: +// return OK(ROR(p[0], p[1].get_value())) case BooleanFunction::NodeType::Eq: +// return OK(EQ(p[0], p[1])) case BooleanFunction::NodeType::Sle: +// return OK(SLE(p[0], p[1])) case BooleanFunction::NodeType::Slt: +// return OK(SLT(p[0], p[1])) case BooleanFunction::NodeType::Ule: +// return OK(ULE(p[0], p[1])) case BooleanFunction::NodeType::Ult: +// return OK(ULT(p[0], p[1])) case BooleanFunction::NodeType::Ite: +// return OK(ITE(p[0], p[1], p[2])) default : return ERR("netlist", "Not implemented reached for nodetype {} in bw conversion", node.type); +// } +// }; + +// } // namespace + +// Result from_bf(const BooleanFunction& bf, const std::map& var2expr) +// { +// std::vector stack; +// for (const auto& node : bf.get_nodes()) +// { +// std::vector operands; +// std::move(stack.end() - static_cast(node.get_arity()), stack.end(), std::back_inserter(operands)); +// stack.erase(stack.end() - static_cast(node.get_arity()), stack.end()); + +// auto res = reduce_to_bw(node, std::move(operands)); +// if (res.is_ok()) +// { +// stack.emplace_back(res.get()); +// } +// else +// { +// return ERR_APPEND(res.get_error(), "could not create boolean function for node"); +// } +// } + +// switch (stack.size()) +// { +// case 1: +// return OK(stack.back()); +// default: +// return ERR("all nodes somehow didnt get finished"); +// } +// } + +// } // namespace bw +// } // namespace bitwuzla_utils +// } // namespace hal \ No newline at end of file diff --git a/plugins/bitwuzla_utils/src/plugin_bitwuzla_utils.cpp b/plugins/bitwuzla_utils/src/plugin_bitwuzla_utils.cpp new file mode 100644 index 00000000000..cd62f41a802 --- /dev/null +++ b/plugins/bitwuzla_utils/src/plugin_bitwuzla_utils.cpp @@ -0,0 +1,26 @@ +#include "bitwuzla_utils/plugin_bitwuzla_utils.h" + +#include "hal_core/netlist/gate.h" +#include "hal_core/netlist/module.h" + +namespace hal +{ + extern std::unique_ptr create_plugin_instance() + { + return std::make_unique(); + } + + std::string BitwuzlaUtilsPlugin::get_name() const + { + return std::string("bitwuzla_utils"); + } + + std::string BitwuzlaUtilsPlugin::get_version() const + { + return std::string("0.1"); + } + + void BitwuzlaUtilsPlugin::initialize() + { + } +} // namespace hal diff --git a/plugins/bitwuzla_utils/src/subgraph_function_generator.cpp b/plugins/bitwuzla_utils/src/subgraph_function_generator.cpp new file mode 100644 index 00000000000..dfd79d81942 --- /dev/null +++ b/plugins/bitwuzla_utils/src/subgraph_function_generator.cpp @@ -0,0 +1,188 @@ +#include "bitwuzla_utils/bitwuzla_utils.h" +#include "hal_core/netlist/decorators/boolean_function_net_decorator.h" +#include "hal_core/netlist/decorators/subgraph_netlist_decorator.h" +#include "hal_core/netlist/endpoint.h" +#include "hal_core/netlist/gate.h" +#include "hal_core/utilities/log.h" + +#include +namespace hal +{ + namespace bitwuzla_utils + { + namespace + { + Result get_function_of_net(const std::vector& subgraph_gates, + const Net* net, + std::map& net_cache, + std::map, BooleanFunction>& gate_cache) + { + if (const auto it = net_cache.find(net->get_id()); it != net_cache.end()) + { + return OK(it->second); + } + + const std::vector sources = net->get_sources(); + + // net is multi driven + if (sources.size() > 1) + { + return ERR("cannot get Boolean bitwuzla function of net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + ": net is multi driven."); + } + + // net has no source + if (sources.empty()) + { + bitwuzla::Term ret = bitwuzla::mk_const(bitwuzla::mk_bv_sort(1), BooleanFunctionNetDecorator(*net).get_boolean_variable_name()); + net_cache.insert({net->get_id(), ret}); + return OK(ret); + } + + const Endpoint* src_ep = sources.front(); + + if (src_ep->get_gate() == nullptr) + { + return ERR("cannot get Boolean bitwuzla function of net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + ": net source is null."); + } + + const Gate* src = src_ep->get_gate(); + + // source is not in subgraph gates + if (std::find(subgraph_gates.begin(), subgraph_gates.end(), src) == subgraph_gates.end()) + { + bitwuzla::Term ret = bitwuzla::mk_const(bitwuzla::mk_bv_sort(1), BooleanFunctionNetDecorator(*net).get_boolean_variable_name()); + net_cache.insert({net->get_id(), ret}); + return OK(ret); + } + + BooleanFunction bf; + if (const auto it = gate_cache.find({src->get_id(), src_ep->get_pin()}); it == gate_cache.end()) + { + const auto bf_res = src->get_resolved_boolean_function(src_ep->get_pin()); + if (bf_res.is_error()) + { + return ERR_APPEND(bf_res.get_error(), + "cannot get Boolean bitwuzla function of net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + ": failed to get function of gate."); + } + bf = bf_res.get(); + + gate_cache.insert({{src->get_id(), src_ep->get_pin()}, bf}); + } + else + { + bf = it->second; + } + + std::map input_to_term; + + for (const std::string& in_net_str : bf.get_variable_names()) + { + const auto in_net_res = BooleanFunctionNetDecorator::get_net_from(src->get_netlist(), in_net_str); + if (in_net_res.is_error()) + { + return ERR_APPEND(in_net_res.get_error(), + "cannot get Boolean bitwuzla function of net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + + ": failed to reconstruct input net from variable " + in_net_str + "."); + } + const auto in_net = in_net_res.get(); + + const auto in_bf_res = get_function_of_net(subgraph_gates, in_net, net_cache, gate_cache); + if (in_bf_res.is_error()) + { + // NOTE since this can lead to a deep recursion we do not append the error and instead only propagate it. + return in_bf_res; + } + const auto in_bf = in_bf_res.get(); + + input_to_term.insert({in_net_str, in_bf}); + } + + const auto res = bitwuzla_utils::from_bf(bf, input_to_term); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), + "cannot get Boolean bitwuzla function of net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + + ": failed to translate gate function to bitwuzla term"); + } + + const auto ret = res.get(); + net_cache.insert({net->get_id(), ret}); + return OK(ret); + } + + Result get_subgraph_bitwuzla_function_internal(const std::vector& subgraph_gates, + const Net* net, + std::map& net_cache, + std::map, BooleanFunction>& gate_cache) + { + // check validity of subgraph_gates + if (subgraph_gates.empty()) + { + return ERR("could not get subgraph bitwuzla function of net '" + net->get_name() + "' with ID " + std::to_string(net->get_id()) + ": subgraph contains no gates"); + } + else if (std::any_of(subgraph_gates.begin(), subgraph_gates.end(), [](const Gate* g) { return g == nullptr; })) + { + return ERR("could not get subgraph bitwuzla function of net '" + net->get_name() + "' with ID " + std::to_string(net->get_id()) + ": subgraph contains a gate that is a 'nullptr'"); + } + else if (net == nullptr) + { + return ERR("could not get subgraph bitwuzla function: net is a 'nullptr'"); + } + else if (net->get_num_of_sources() > 1) + { + return ERR("could not get subgraph bitwuzla function of net '" + net->get_name() + "' with ID " + std::to_string(net->get_id()) + ": net has more than one source"); + } + else if (net->is_global_input_net()) + { + return OK(bitwuzla::mk_const(bitwuzla::mk_bv_sort(1), BooleanFunctionNetDecorator(*net).get_boolean_variable_name())); + } + else if (net->get_num_of_sources() == 0) + { + return ERR("could not get subgraph function of net '" + net->get_name() + "' with ID " + std::to_string(net->get_id()) + ": net has no sources"); + } + + return get_function_of_net(subgraph_gates, net, net_cache, gate_cache); + } + + } // namespace + + Result get_subgraph_bitwuzla_function(const std::vector& subgraph_gates, const Net* subgraph_output) + { + std::map net_cache; + std::map, BooleanFunction> gate_cache; + + return get_subgraph_bitwuzla_function_internal(subgraph_gates, subgraph_output, net_cache, gate_cache); + } + + Result get_subgraph_bitwuzla_function(const std::vector& subgraph_gates, + const Net* subgraph_output, + std::map& net_cache, + std::map, BooleanFunction>& gate_cache) + { + return get_subgraph_bitwuzla_function_internal(subgraph_gates, subgraph_output, net_cache, gate_cache); + } + + Result> get_subgraph_bitwuzla_functions(const std::vector& subgraph_gates, const std::vector& subgraph_outputs) + { + std::map net_cache; + std::map, BooleanFunction> gate_cache; + + std::vector results; + + for (const auto& net : subgraph_outputs) + { + const auto res = get_subgraph_bitwuzla_function_internal(subgraph_gates, net, net_cache, gate_cache); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), + "unable to generate subgraph functions: failed to generate function for net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + "."); + } + + results.push_back(res.get()); + } + + return OK(results); + } + + } // namespace bitwuzla_utils +} // namespace hal diff --git a/plugins/bitwuzla_utils/src/symbolic_execution.cpp b/plugins/bitwuzla_utils/src/symbolic_execution.cpp new file mode 100644 index 00000000000..18fb6082398 --- /dev/null +++ b/plugins/bitwuzla_utils/src/symbolic_execution.cpp @@ -0,0 +1,1896 @@ +#include "bitwuzla_utils/symbolic_execution.h" + +#include "hal_core/utilities/log.h" + +#include + +namespace hal +{ + namespace SMT + { + namespace ConstantPropagation + { + /** + * Helper function to simplify a constant AND operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + Result And(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + const auto res = utils::wrapped_stoull(p0.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + const auto res2 = utils::wrapped_stoull(p1.value(), 2); + if (res2.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + auto simplified_val = res.get() & res2.get(); + return OK(bitwuzla::mk_bv_value_uint64(bitwuzla::mk_bv_sort(p0.sort().bv_size()), simplified_val)); + } + + /** + * Helper function to simplify a constant Equal operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + Result Equal(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + if (p0.sort().is_bv() & p1.sort().is_bv()) + { + const auto res = utils::wrapped_stoull(p0.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + const auto res2 = utils::wrapped_stoull(p1.value(), 2); + if (res2.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + auto simplified_val = res.get() == res2.get(); + if (res.get() == res2.get()) + return OK(bitwuzla::mk_true()); + return OK(bitwuzla::mk_false()); + } + else if (p0.sort().is_bool() & p1.sort().is_bool()) + { + if (p0.is_true() == p1.is_true()) + return OK(bitwuzla::mk_true()); + return OK(bitwuzla::mk_false()); + } + return ERR("Trying to compare 2 Values of different Sort in Equal"); + } + + /** + * Helper function to simplify a constant OR operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + Result Or(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + const auto res = utils::wrapped_stoull(p0.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + const auto res2 = utils::wrapped_stoull(p1.value(), 2); + if (res2.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + auto simplified_val = res.get() | res2.get(); + return OK(bitwuzla::mk_bv_value_uint64(bitwuzla::mk_bv_sort(p0.sort().bv_size()), simplified_val)); + } + + /** + * Helper function to simplify a constant NOT operation. + * + * @param[in] p - Boolean function parameter. + * @returns Boolean function with a simplified constant value. + */ + Result Not(const bitwuzla::Term& p) + { + const auto res = utils::wrapped_stoull(p.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + return OK(bitwuzla::mk_bv_value_uint64(bitwuzla::mk_bv_sort(p.sort().bv_size()), !res.get())); + } + + /** + * Helper function to simplify a constant XOR operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + Result Xor(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + const auto res = utils::wrapped_stoull(p0.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + const auto res2 = utils::wrapped_stoull(p1.value(), 2); + if (res2.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + auto simplified_val = res.get() ^ res2.get(); + return OK(bitwuzla::mk_bv_value_uint64(bitwuzla::mk_bv_sort(p0.sort().bv_size()), simplified_val)); + } + + /** + * Helper function to simplify a constant ADD operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + Result Add(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + const auto res = utils::wrapped_stoull(p0.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + const auto res2 = utils::wrapped_stoull(p1.value(), 2); + if (res2.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + auto simplified_val = (res.get() + res2.get())%(1< Sub(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + const auto res = utils::wrapped_stoull(p0.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + const auto res2 = utils::wrapped_stoull(p1.value(), 2); + if (res2.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + auto simplified_val = (res.get() - res2.get())%(1< Mul(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + const auto res = utils::wrapped_stoull(p0.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + const auto res2 = utils::wrapped_stoull(p1.value(), 2); + if (res2.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + auto simplified_val = (res.get() * res2.get())%(1< Sle(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + // i64 res = 0; + // try + // { + // res = std::stoll(p0.value(), nullptr, 2); + // } + // catch (const std::invalid_argument& e) + // { + // return ERR("could not get u64 from string"); + // } + // catch (const std::out_of_range& e) + // { + // return ERR("could not get u64 from string"); + // } + // i64 res2 = 0; + // try + // { + // res2 = std::stoll(p1.value(), nullptr, 2); + // } + // catch (const std::invalid_argument& e) + // { + // return ERR("could not get u64 from string"); + // } + // catch (const std::out_of_range& e) + // { + // return ERR("could not get u64 from string"); + // } + + // if (res <= res2) + // { + // return OK(bitwuzla::mk_true()); + // } + // return OK(bitwuzla::mk_false()); + + auto value0 = p0.value(); + bool negative = false; + if(value0.substr(0,1) == "1") + { + negative = true; + value0 = string_replace_all(value0,"0", "2"); + value0 = string_replace_all(value0,"1", "0"); + value0 = string_replace_all(value0,"2", "1"); + } + value0 =value0.substr(1,value0.length()-1); + const auto res = utils::wrapped_stoull(value0, 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + i64 res_value = res.get(); + if(negative) + { + res_value = -res_value; + } + auto value1 = p1.value(); + negative = false; + if(value1.substr(0,1) == "1") + { + negative = true; + value1 = string_replace_all(value1,"0", "2"); + value1 = string_replace_all(value1,"1", "0"); + value1 = string_replace_all(value1,"2", "1"); + } + value1 =value1.substr(1,value1.length()-1); + const auto res1 = utils::wrapped_stoull(value1, 2); + if (res1.is_error()) + { + return ERR_APPEND(res1.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + i64 res_value1 = res1.get(); + if(negative) + { + res_value1 = -res_value1; + } + if (res_value <= res_value1) + { + return OK(bitwuzla::mk_true()); + } + return OK(bitwuzla::mk_false()); + } + + /** + * Helper function to simplify a constant SLT operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + Result Slt(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + auto value0 = p0.value(); + bool negative = false; + if(value0.substr(0,1) == "1") + { + negative = true; + value0 = string_replace_all(value0,"0", "2"); + value0 = string_replace_all(value0,"1", "0"); + value0 = string_replace_all(value0,"2", "1"); + } + value0 =value0.substr(1,value0.length()-1); + const auto res = utils::wrapped_stoull(value0, 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + i64 res_value = res.get(); + if(negative) + { + res_value = -res_value; + } + auto value1 = p1.value(); + negative = false; + if(value1.substr(0,1) == "1") + { + negative = true; + value1 = string_replace_all(value1,"0", "2"); + value1 = string_replace_all(value1,"1", "0"); + value1 = string_replace_all(value1,"2", "1"); + } + value1 =value1.substr(1,value1.length()-1); + const auto res1 = utils::wrapped_stoull(value1, 2); + if (res1.is_error()) + { + return ERR_APPEND(res1.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + i64 res_value1 = res1.get(); + if(negative) + { + res_value1 = -res_value1; + } + if (res_value < res_value1) + { + return OK(bitwuzla::mk_true()); + } + return OK(bitwuzla::mk_false()); + } + + /** + * Helper function to simplify a constant ULE operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + Result Ule(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + const auto res = utils::wrapped_stoull(p0.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + const auto res2 = utils::wrapped_stoull(p1.value(), 2); + if (res2.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + if (res.get() <= res2.get()) + { + return OK(bitwuzla::mk_true()); + } + return OK(bitwuzla::mk_false()); + + } + + /** + * Helper function to simplify a constant ULT operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + Result Ult(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + const auto res = utils::wrapped_stoull(p0.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + const auto res2 = utils::wrapped_stoull(p1.value(), 2); + if (res2.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + if (res.get() < res2.get()) + { + return OK(bitwuzla::mk_true()); + } + return OK(bitwuzla::mk_false()); + } + + /** + * Helper function to simplify a constant ITE operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @param[in] p2 - Boolean function parameter 2. + * @returns Boolean function with a simplified constant value. + */ + Result Ite(const bitwuzla::Term& p0, const bitwuzla::Term& p1, const bitwuzla::Term& p2) + { + if (p0.is_true()) + { + return OK(p1); + } + return OK(p2); + } + + /** + * Helper function to simplify a constant CONCAT operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + Result CONCAT(const bitwuzla::Term& p0, const bitwuzla::Term& p1) + { + const auto res = utils::wrapped_stoull(p0.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + const auto res2 = utils::wrapped_stoull(p1.value(), 2); + if (res2.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot tranlate term to hal Boolean function: failed to extract value"); + } + auto simplified_val = (res.get() << p1.sort().bv_size()) + res2.get(); + return OK(bitwuzla::mk_bv_value_uint64(bitwuzla::mk_bv_sort(p0.sort().bv_size()+p1.sort().bv_size()), simplified_val)); + } + + Result BV_ZERO_EXTEND(const bitwuzla::Term& p, const u64 size) + { + const auto res = utils::wrapped_stoull(p.value(), 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot translate term to hal Boolean function: failed to extract value"); + } + return OK(bitwuzla::mk_bv_value_uint64(bitwuzla::mk_bv_sort(p.sort().bv_size() + size), res.get())); + } + + Result BV_SIGN_EXTEND(const bitwuzla::Term& p, const u64 size) + { + u64 res = 0; + if(p.value() == "1") + { + return OK(bitwuzla::mk_bv_ones(bitwuzla::mk_bv_sort(p.sort().bv_size() + size))); + } + try + { + res = std::stoll(p.value(), nullptr, 2); + } + catch (const std::invalid_argument& e) + { + return ERR("could not get u64 from string"); + } + catch (const std::out_of_range& e) + { + return ERR("could not get u64 from string"); + } + return OK(bitwuzla::mk_bv_value_uint64(bitwuzla::mk_bv_sort(p.sort().bv_size() + size), res)); + } + + Result BV_EXTRACT(const bitwuzla::Term& p, const u64 end, const u64 start) + { + std::string new_str = p.value(); + new_str.insert(new_str.begin(), p.sort().bv_size() - new_str.size(), '0'); + new_str = new_str.substr(new_str.size()-end-1, end-start+1); + const auto res = utils::wrapped_stoull(new_str, 2); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot translate term to hal Boolean function: failed to extract value"); + } + return OK(bitwuzla::mk_bv_value_uint64(bitwuzla::mk_bv_sort(end - start+1), res.get())); + } + + } // namespace ConstantPropagation + + + namespace + { + std::vector get_terms_dfs(const bitwuzla::Term& function, std::map& id_to_term) + { + std::vector result; + if(id_to_term.find(function.id()) != id_to_term.end()) + { + return result; + } + if (function.num_children() > 0) + { + auto children = function.children(); + for (const auto cur_child : children) + { + auto child_res = get_terms_dfs(cur_child, id_to_term); + result.insert(result.end(), child_res.begin(), child_res.end()); + } + result.push_back(function); + } + else + { + if (id_to_term.find(function.id()) == id_to_term.end()) + { + id_to_term[function.id()] = function; + } + } + return result; + } + } // namespace + + Result SymbolicExecution::evaluate(const bitwuzla::Term& function, std::map id_to_term,std::map& resulting_id_to_term) const + { + //std::map id_to_term; + std::vector stack = get_terms_dfs(function, id_to_term); + bitwuzla::Term result; + if(stack.size() == 0) + { + return OK(function); + } + for (const auto& node : stack) + { + + //std::cout << "current node is " < parameters; + for (auto cur_child : node.children()) + { + parameters.push_back(id_to_term[cur_child.id()]); + } + if (auto simplified = this->simplify(node, parameters); simplified.is_ok()) + { + + id_to_term[node.id()] = simplified.get(); + result = simplified.get(); + } + else + { + return ERR_APPEND(simplified.get_error(), "could not evaluate Boolean function within symbolic state: simplification failed"); + } + + } + } + resulting_id_to_term = id_to_term; + return OK(result); + } + + // Result SymbolicExecution::evaluate(const Constraint& constraint) + // { + // if (constraint.is_assignment()) + // { + // const auto& assignment = constraint.get_assignment().get(); + // if (auto res = this->evaluate(assignment->first).map([&](auto&& rhs) -> Result { + // this->state.set(assignment->second.clone(), std::move(rhs)); + // return OK({}); + // }); + // res.is_error()) + // { + // return ERR_APPEND(res.get_error(), "could not to evaluate assignment constraint within the symbolic state: evaluation failed"); + // } + // else + // { + // return OK({}); + // } + // } + // else + // { + // const auto& function = constraint.get_function().get(); + // auto node_type = function->get_top_level_node().type; + // if (!(node_type == bitwuzla::Term::Eq || node_type == bitwuzla::Term::Slt || node_type == bitwuzla::Term::Sle + // || node_type == bitwuzla::Term::Ult || node_type == bitwuzla::Term::Ule)) + // { + // return ERR("invalid node type in function '" + function->to_string() + "'"); + // } + // if (auto res = this->evaluate(*function); res.is_error()) + // { + // return ERR_APPEND(res.get_error(), "could not to evaluate function constraint within the symbolic state: evaluation failed"); + // } + // else + // { + // return OK({}); + // } + // } + // } + + std::vector SymbolicExecution::normalize(std::vector&& p) + { + if (p.size() <= 1ul) + { + return std::move(p); + } + + std::sort(p.begin(), p.end(), [](const auto& lhs, const auto& rhs) { + if (lhs.kind() == rhs.kind()) + { + return lhs.str() < rhs.str(); + } + return rhs.is_value() || rhs.sort().is_bool(); + }); + return std::move(p); + } + + namespace + { + + bool is_x_y(const bitwuzla::Term& x, const bitwuzla::Term& y) + { + //TODO implement this in a more detailed way maybe? + if (x.id() == y.id()) + { + return true; + } + return x.str() == y.str(); + } + /** + * Helper function to check whether one of the two functions is just the other function negated. + */ + bool is_x_not_y(const bitwuzla::Term& x, const bitwuzla::Term& y) + { + if (x.kind() == bitwuzla::Kind::NOT) + { + if (is_x_y(x.children()[0], y)) + { + return true; + } + } + if (x.kind() == bitwuzla::Kind::BV_NOT) + { + if (is_x_y(x.children()[0], y)) + { + return true; + } + } + if (y.kind() == bitwuzla::Kind::NOT) + { + if (is_x_y(y.children()[0], x)) + { + return true; + } + } + if (y.kind() == bitwuzla::Kind::BV_NOT) + { + if (is_x_y(y.children()[0], x)) + { + return true; + } + } + + return false; + } + + bool is_commutative(const bitwuzla::Term& x) + { + return (x.kind() == bitwuzla::Kind::BV_AND) || (x.kind() == bitwuzla::Kind::BV_OR) || (x.kind() == bitwuzla::Kind::BV_XOR) || (x.kind() == bitwuzla::Kind::BV_ADD) + || (x.kind() == bitwuzla::Kind::BV_MUL) || (x.kind() == bitwuzla::Kind::EQUAL) || (x.kind() == bitwuzla::Kind::AND) || (x.kind() == bitwuzla::Kind::OR) + || (x.kind() == bitwuzla::Kind::XOR); + } + + bool is_smaller(const bitwuzla::Term& x, const bitwuzla::Term& y) + { + if (x.str().length() < x.str().length()) + { + return true; + } + if (x.str().length() > y.str().length()) + { + return false; + } + + return x.str() < y.str(); + } + + } // namespace + + Result SymbolicExecution::simplify(const bitwuzla::Term& node, std::vector& p) + { + + if (!p.empty() && std::all_of(p.begin(), p.end(), [](const auto& function) { return function.sort().is_bool() || function.is_value(); })) + { + if (auto res = SymbolicExecution::constant_propagation(node, p); res.is_error()) + { + return ERR_APPEND(res.get_error(), "could not simplify sub-expression in abstract syntax tree: constant propagation failed"); + } + else + { + return res; + } + } + + if (is_commutative(node)) + { + p = SymbolicExecution::normalize(std::move(p)); + } + + /// # Developer Note + /// Since the simplify() function vists the abstract syntax tree of the + /// Boolean function, we want to prevent the use of any recursive call + /// to the simplify() function of a sub-expression tree. Hence, use the + /// simplify() function with care, as otherwise run-time may explode :) + + switch (node.kind()) + { + case bitwuzla::Kind::CONSTANT: { + return OK(node); + } + case bitwuzla::Kind::VALUE: { + return OK(node); + } + case bitwuzla::Kind::VARIABLE: { + return OK(node); + } + case bitwuzla::Kind::BV_AND: { + // X & 0 => 0 + if (p[1].is_bv_value_zero()) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + // X & 1 => X + if (p[1].is_bv_value_ones()) + { + return OK(p[0]); + } + // X & X => X + if (is_x_y(p[0], p[1])) + { + return OK(p[0]); + } + // X & ~X => 0 + if (is_x_not_y(p[0], p[1])) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + + if ((p[0].kind() == bitwuzla::Kind::BV_OR) && (p[1].kind() == bitwuzla::Kind::BV_OR)) + { + auto p0_parameter = p[0].children(); + auto p1_parameter = p[1].children(); + + // (X | Y) & (X | Z) => X | (Y & Z) + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[0], bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p0_parameter[1], p1_parameter[1]})})); + } + // (X | Y) & (Z | X) => X | (Y & Z) + if (is_x_y(p0_parameter[0], p1_parameter[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[0], bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p0_parameter[1], p1_parameter[0]})})); + } + + // (X | Y) & (Y | Z) => Y | (X & Z) + if (is_x_y(p0_parameter[1], p1_parameter[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[1], bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p0_parameter[0], p1_parameter[1]})})); + } + // (X | Y) & (Z | Y) => Y | (X & Z) + if (is_x_y(p0_parameter[1], p1_parameter[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[1], bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p0_parameter[0], p1_parameter[0]})})); + } + } + + if (p[1].kind() == bitwuzla::Kind::BV_AND) + { + auto p1_parameter = p[1].children(); + // X & (X & Y) => (X & Y) + if (is_x_y(p[0], p1_parameter[1])) + { + return OK(p[1]); + } + // X & (Y & X) => (Y & X) + if (is_x_y(p[0], p1_parameter[0])) + { + return OK(p[1]); + } + + // X & (~X & Y) => 0 + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + // X & (Y & ~X) => 0 + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + } + + if (p[1].kind() == bitwuzla::Kind::BV_OR) + { + auto p1_parameter = p[1].children(); + + // X & (X | Y) => X + if (is_x_y(p1_parameter[0], p[0])) + { + return OK(p[0]); + } + // X & (Y | X) => X + if (is_x_y(p1_parameter[1], p[0])) + { + return OK(p[0]); + } + // X & (~X | Y) => X & Y + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p[0], p1_parameter[1]})); + } + // X & (Y | ~X) => X & Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p[0], p1_parameter[0]})); + } + } + + if (p[0].kind() == bitwuzla::Kind::BV_AND) + { + auto p0_parameter = p[0].children(); + + // (X & Y) & X => X & Y + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[0]); + } + + // (Y & X) & X => Y & X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[0]); + } + // (~X & Y) & X => 0 + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + // (Y & ~X) & X => 0 + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + } + + if (p[0].kind() == (bitwuzla::Kind::BV_OR)) + { + auto p0_parameter = p[0].children(); + + // (X | Y) & X => X + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[1]); + } + // (Y | X) & X => X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[1]); + } + // (~X | Y) & X => X & Y + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p[1], p0_parameter[1]})); + } + // (Y | ~X) & X => X & Y + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p[1], p0_parameter[0]})); + } + } + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p[0], p[1]})); + } + case bitwuzla::Kind::AND: { + // X & 0 => 0 + if (p[1].is_false()) + { + return OK(bitwuzla::mk_false()); + } + // X & 1 => X + if (p[1].is_true()) + { + return OK(p[0]); + } + // X & X => X + if (is_x_y(p[0], p[1])) + { + return OK(p[0]); + } + // X & ~X => 0 + if (is_x_not_y(p[0], p[1])) + { + return OK(bitwuzla::mk_false()); + } + + if ((p[0].kind() == bitwuzla::Kind::OR) && (p[1].kind() == bitwuzla::Kind::OR)) + { + auto p0_parameter = p[0].children(); + auto p1_parameter = p[1].children(); + + // (X | Y) & (X | Z) => X | (Y & Z) + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::OR, {p0_parameter[0], bitwuzla::mk_term(bitwuzla::Kind::AND, {p0_parameter[1], p1_parameter[1]})})); + } + // (X | Y) & (Z | X) => X | (Y & Z) + if (is_x_y(p0_parameter[0], p1_parameter[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::OR, {p0_parameter[0], bitwuzla::mk_term(bitwuzla::Kind::AND, {p0_parameter[1], p1_parameter[0]})})); + } + + // (X | Y) & (Y | Z) => Y | (X & Z) + if (is_x_y(p0_parameter[1], p1_parameter[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::OR, {p0_parameter[1], bitwuzla::mk_term(bitwuzla::Kind::AND, {p0_parameter[0], p1_parameter[1]})})); + } + // (X | Y) & (Z | Y) => Y | (X & Z) + if (is_x_y(p0_parameter[1], p1_parameter[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::OR, {p0_parameter[1], bitwuzla::mk_term(bitwuzla::Kind::AND, {p0_parameter[0], p1_parameter[0]})})); + } + } + + if (p[1].kind() == bitwuzla::Kind::AND) + { + auto p1_parameter = p[1].children(); + // X & (X & Y) => (X & Y) + if (is_x_y(p[0], p1_parameter[1])) + { + return OK(p[1]); + } + // X & (Y & X) => (Y & X) + if (is_x_y(p[0], p1_parameter[0])) + { + return OK(p[1]); + } + + // X & (~X & Y) => 0 + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(bitwuzla::mk_false()); + } + // X & (Y & ~X) => 0 + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(bitwuzla::mk_false()); + } + } + + if (p[1].kind() == bitwuzla::Kind::OR) + { + auto p1_parameter = p[1].children(); + + // X & (X | Y) => X + if (is_x_y(p1_parameter[0], p[0])) + { + return OK(p[0]); + } + // X & (Y | X) => X + if (is_x_y(p1_parameter[1], p[0])) + { + return OK(p[0]); + } + // X & (~X | Y) => X & Y + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::AND, {p[0], p1_parameter[1]})); + } + // X & (Y | ~X) => X & Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::AND, {p[0], p1_parameter[0]})); + } + } + + if (p[0].kind() == bitwuzla::Kind::AND) + { + auto p0_parameter = p[0].children(); + + // (X & Y) & X => X & Y + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[0]); + } + + // (Y & X) & X => Y & X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[0]); + } + // (~X & Y) & X => 0 + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(bitwuzla::mk_false()); + } + // (Y & ~X) & X => 0 + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(bitwuzla::mk_false()); + } + } + + if (p[0].kind() == (bitwuzla::Kind::OR)) + { + auto p0_parameter = p[0].children(); + + // (X | Y) & X => X + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[1]); + } + // (Y | X) & X => X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[1]); + } + // (~X | Y) & X => X & Y + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::AND, {p[1], p0_parameter[1]})); + } + // (Y | ~X) & X => X & Y + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::AND, {p[1], p0_parameter[0]})); + } + } + return OK(bitwuzla::mk_term(bitwuzla::Kind::AND, {p[0], p[1]})); + } + case bitwuzla::Kind::NOT: { + if (p[0].kind() == (bitwuzla::Kind::NOT)) + { + return OK(p[0].children()[0]); + } + return OK(bitwuzla::mk_term(bitwuzla::Kind::NOT, {p[0]})); + } + case bitwuzla::Kind::BV_NOT: { + // ~~X => X + if (p[0].kind() == (bitwuzla::Kind::BV_NOT)) + { + return OK(p[0].children()[0]); + } + + // ~(~X & ~Y) => X | Y + if (p[0].kind() == (bitwuzla::Kind::BV_AND)) + { + auto p0_parameter = p[0].children(); + if ((p0_parameter[0].kind() == (bitwuzla::Kind::BV_NOT)) && (p0_parameter[1].kind() == bitwuzla::Kind::BV_NOT)) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[0].children()[0], p0_parameter[1].children()[0]})); + } + } + + // ~(~X | ~Y) => X & Y + if (p[0].kind() == (bitwuzla::Kind::BV_OR)) + { + auto p0_parameter = p[0].children(); + if ((p0_parameter[0].kind() == (bitwuzla::Kind::BV_NOT)) && (p0_parameter[1].kind() == bitwuzla::Kind::BV_NOT)) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p0_parameter[0].children()[0], p0_parameter[1].children()[0]})); + } + } + + // ~(X | Y) => ~X & ~Y + if (p[0].kind() == (bitwuzla::Kind::BV_OR)) + { + auto p0_parameter = p[0].children(); + + return OK( + bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {bitwuzla::mk_term(bitwuzla::Kind::BV_NOT, {p0_parameter[0]}), bitwuzla::mk_term(bitwuzla::Kind::BV_NOT, {p0_parameter[1]})})); + } + + // ~(X & Y) => ~X | ~Y + if (p[0].kind() == (bitwuzla::Kind::BV_AND)) + { + auto p0_parameter = p[0].children(); + return OK( + bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {bitwuzla::mk_term(bitwuzla::Kind::BV_NOT, {p0_parameter[0]}), bitwuzla::mk_term(bitwuzla::Kind::BV_NOT, {p0_parameter[1]})})); + } + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_NOT, {p[0]})); + } + + case bitwuzla::Kind::BV_OR: { + // X | 0 => X + if (p[1].is_bv_value_zero()) + { + return OK(p[0]); + } + + // X | 1 => 1 + if (p[1].is_bv_value_ones()) + { + return OK(p[1]); + } + + // X | X => X + if (is_x_y(p[0], p[1])) + { + return OK(p[0]); + } + + // X | ~X => 111...1 + if (is_x_not_y(p[0], p[1])) + { + return OK(bitwuzla::mk_bv_ones(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + + if (p[0].kind() == (bitwuzla::Kind::BV_AND) && p[1].kind() == (bitwuzla::Kind::BV_AND)) + { + auto p0_parameter = p[0].children(); + auto p1_parameter = p[1].children(); + + // (X & Y) | (X & Z) => X & (Y | Z) + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p0_parameter[0], bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[1], p1_parameter[1]})})); + } + // (X & Y) | (Z & X) => X & (Y | Z) + if (is_x_y(p0_parameter[0], p1_parameter[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p0_parameter[0], bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[1], p1_parameter[0]})})); + } + // (X & Y) | (Y & Z) => Y & (Y | Z) + if (is_x_y(p0_parameter[1], p1_parameter[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p0_parameter[1], bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[0], p1_parameter[1]})})); + } + // (X & Y) | (Z & Y) => Y & (X | Z) + if (is_x_y(p0_parameter[1], p1_parameter[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_AND, {p0_parameter[1], bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[0], p1_parameter[0]})})); + } + } + + if (p[1].kind() == (bitwuzla::Kind::BV_AND)) + { + auto p1_parameter = p[1].children(); + // X | (Y & !X) => X | Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p[0], p1_parameter[0]})); + } + + // X | (X & Y) => X + if ((is_x_y(p1_parameter[0], p[0])) || (is_x_y(p1_parameter[1], p[0]))) + { + return OK(p[0]); + } + + // X | (~X & Y) => X | Y + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p[0], p1_parameter[1]})); + } + // X | (Y & ~X) => X | Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p[0], p1_parameter[0]})); + } + } + + if (p[1].kind() == (bitwuzla::Kind::BV_OR)) + { + auto p1_parameter = p[1].children(); + + // X | (X | Y) => (X | Y) + if (is_x_y(p1_parameter[0], p[0])) + { + return OK(p[1]); + } + // X | (Y | X) => (Y | X) + if (is_x_y(p1_parameter[1], p[0])) + { + return OK(p[1]); + } + + // X | (~X | Y) => 1 + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(bitwuzla::mk_bv_ones(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + + // X | (Y | ~X) => 1 + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(bitwuzla::mk_bv_ones(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + } + + if (p[0].kind() == (bitwuzla::Kind::BV_OR)) + { + auto p0_parameter = p[0].children(); + + // (X | Y) | X => (X | Y) + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[0]); + } + // (Y | X) | X => (Y | X) + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[0]); + } + + // (~X | Y) | X => 1 + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(bitwuzla::mk_bv_ones(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + + // (Y | ~X) | X => 1 + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(bitwuzla::mk_bv_ones(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + } + + if (p[0].kind() == (bitwuzla::Kind::BV_AND)) + { + auto p0_parameter = p[0].children(); + + // (X & Y) | X => X + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[1]); + } + // (Y & X) | X => X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[1]); + } + + // (~X & Y) | X => X | Y + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[1], p[1]})); + } + + // (X & ~Y) | Y => X | Y + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[0], p[1]})); + } + } + + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p[0], p[1]})); + } + case bitwuzla::Kind::OR: { + // X | 0 => X + if (p[1].is_false()) + { + return OK(p[0]); + } + + // X | 1 => 1 + if (p[1].is_true()) + { + return OK(p[1]); + } + + // X | X => X + if (is_x_y(p[0], p[1])) + { + return OK(p[0]); + } + // X | ~X => 111...1 + if (is_x_not_y(p[0], p[1])) + { + return OK(bitwuzla::mk_true()); + } + + if (p[0].kind() == (bitwuzla::Kind::AND) && p[1].kind() == (bitwuzla::Kind::AND)) + { + auto p0_parameter = p[0].children(); + auto p1_parameter = p[1].children(); + + // (X & Y) | (X & Z) => X & (Y | Z) + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::AND, {p0_parameter[0], bitwuzla::mk_term(bitwuzla::Kind::OR, {p0_parameter[1], p1_parameter[1]})})); + } + // (X & Y) | (Z & X) => X & (Y | Z) + if (is_x_y(p0_parameter[0], p1_parameter[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::AND, {p0_parameter[0], bitwuzla::mk_term(bitwuzla::Kind::OR, {p0_parameter[1], p1_parameter[0]})})); + } + // (X & Y) | (Y & Z) => Y & (Y | Z) + if (is_x_y(p0_parameter[1], p1_parameter[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::AND, {p0_parameter[1], bitwuzla::mk_term(bitwuzla::Kind::OR, {p0_parameter[0], p1_parameter[1]})})); + } + // (X & Y) | (Z & Y) => Y & (X | Z) + if (is_x_y(p0_parameter[1], p1_parameter[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::AND, {p0_parameter[1], bitwuzla::mk_term(bitwuzla::Kind::BV_OR, {p0_parameter[0], p1_parameter[0]})})); + } + } + + if (p[1].kind() == (bitwuzla::Kind::AND)) + { + auto p1_parameter = p[1].children(); + // X | (Y & !X) => X | Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::OR, {p[0], p1_parameter[0]})); + } + + // X | (X & Y) => X + if ((is_x_y(p1_parameter[0], p[0])) || (is_x_y(p1_parameter[1], p[0]))) + { + return OK(p[0]); + } + + // X | (~X & Y) => X | Y + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::OR, {p[0], p1_parameter[1]})); + } + // X | (Y & ~X) => X | Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::OR, {p[0], p1_parameter[0]})); + } + } + + if (p[1].kind() == (bitwuzla::Kind::OR)) + { + auto p1_parameter = p[1].children(); + + // X | (X | Y) => (X | Y) + if (is_x_y(p1_parameter[0], p[0])) + { + return OK(p[1]); + } + // X | (Y | X) => (Y | X) + if (is_x_y(p1_parameter[1], p[0])) + { + return OK(p[1]); + } + + // X | (~X | Y) => 1 + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(bitwuzla::mk_true()); + } + + // X | (Y | ~X) => 1 + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(bitwuzla::mk_true()); + } + } + + if (p[0].kind() == (bitwuzla::Kind::OR)) + { + auto p0_parameter = p[0].children(); + + // (X | Y) | X => (X | Y) + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[0]); + } + // (Y | X) | X => (Y | X) + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[0]); + } + + // (~X | Y) | X => 1 + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(bitwuzla::mk_true()); + } + + // (Y | ~X) | X => 1 + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(bitwuzla::mk_true()); + } + } + + if (p[0].kind() == (bitwuzla::Kind::AND)) + { + auto p0_parameter = p[0].children(); + + // (X & Y) | X => X + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[1]); + } + // (Y & X) | X => X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[1]); + } + + // (~X & Y) | X => X | Y + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::OR, {p0_parameter[1], p[1]})); + } + + // (X & ~Y) | Y => X | Y + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::OR, {p0_parameter[0], p[1]})); + } + } + + return OK(bitwuzla::mk_term(bitwuzla::Kind::OR, {p[0], p[1]})); + } + case bitwuzla::Kind::BV_XOR: { + // X ^ 0 => X + if (p[1].is_bv_value_zero()) + { + return OK(p[0]); + } + // X ^ 1 => ~X + if (p[1].is_bv_value_ones()) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_NOT, {p[0]})); + } + // X ^ X => 0 + if (is_x_y(p[0], p[1])) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + // X ^ ~X => 1 + if (is_x_not_y(p[0], p[1])) + { + return OK(bitwuzla::mk_bv_ones(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_XOR, {p[0], p[1]})); + } + case bitwuzla::Kind::XOR: { + // X ^ 0 => X + if (p[1].is_false()) + { + return OK(p[0]); + } + // X ^ 1 => ~X + if (p[1].is_true()) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::NOT, {p[0]})); + } + // X ^ X => 0 + if (is_x_y(p[0], p[1])) + { + return OK(bitwuzla::mk_false()); + } + // X ^ ~X => 1 + if (is_x_not_y(p[0], p[1])) + { + return OK(bitwuzla::mk_true()); + } + + return OK(bitwuzla::mk_term(bitwuzla::Kind::XOR, {p[0], p[1]})); + } + case bitwuzla::Kind::BV_ADD: { + // X + 0 => X + if (p[1].is_bv_value_zero()) + { + return OK(p[0]); + } + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_ADD, {p[0], p[1]})); + } + case bitwuzla::Kind::BV_SUB: { + // X - 0 => X + if (p[1].is_bv_value_zero()) + { + return OK(p[0]); + } + // X - X => 0 + if (is_x_y(p[0], p[1])) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(p[1].sort().bv_size()))); + } + + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SUB, {p[0], p[1]})); + } + case bitwuzla::Kind::BV_MUL: { + // X * 0 => 0 + if (p[1].is_bv_value_zero()) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(node.sort().bv_size()))); + } + // X * 1 => X + if (p[1].is_bv_value_one()) + { + return OK(p[0]); + } + + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_MUL, {p[0], p[1]})); + } + case bitwuzla::Kind::BV_SDIV: { + // X /s 1 => X + if (p[1].is_bv_value_one()) + { + return OK(p[0]); + } + // X /s X => 1 + if (is_x_y(p[0], p[1])) + { + return OK(bitwuzla::mk_bv_one(bitwuzla::mk_bv_sort(node.sort().bv_size()))); + } + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SDIV, {p[0], p[1]})); + } + case bitwuzla::Kind::BV_UDIV: { + // X / 1 => X + if (p[1].is_bv_value_one()) + { + return OK(p[0]); + } + // X / X => 1 + if (is_x_y(p[0], p[1])) + { + return OK(bitwuzla::mk_bv_one(bitwuzla::mk_bv_sort(node.sort().bv_size()))); + } + + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_UDIV, {p[0], p[1]})); + } + case bitwuzla::Kind::BV_SREM: { + // X %s 1 => 0 + if (p[1].is_bv_value_one()) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(node.sort().bv_size()))); + } + // X %s X => 0 + if (is_x_y(p[0], p[1])) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(node.sort().bv_size()))); + } + + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SREM, {p[0], p[1]})); + } + case bitwuzla::Kind::BV_UREM: { + // X %s 1 => 0 + if (p[1].is_bv_value_one()) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(node.sort().bv_size()))); + } + // X %s X => 0 + if (is_x_y(p[0], p[1])) + { + return OK(bitwuzla::mk_bv_zero(bitwuzla::mk_bv_sort(node.sort().bv_size()))); + } + + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_UREM, {p[0], p[1]})); + } + case bitwuzla::Kind::BV_EXTRACT: { + // SLICE(p, 0, 0) => p (if p is 1-bit wide) + if ((p[0].sort().bv_size() == 1) && node.indices()[0] == 0 && node.indices()[1] == 0) + { + return OK(p[0]); + } + + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_EXTRACT, {p[0]}, node.indices())); + } + case bitwuzla::Kind::BV_CONCAT: { + // CONCAT(X, Y) => CONST(X || Y) already covered in constant propagation + // if (p[0].is_constant() && p[1].is_constant()) + // { + // if ((p[0].size() + p[1].size()) <= 64) + // { + // return OK(bitwuzla::Term::Const((p[0].get_constant_value_u64().get() << p[1].size()) + p[1].get_constant_value_u64().get(), p[0].size() + p[1].size())); + // } + // } + + // We intend to group slices into the same concatination, so that they maybe can be merged into one slice. We try to do this from right to left to make succeeding simplifications easier. + if ((p[0].kind() == bitwuzla::Kind::BV_EXTRACT) && (p[1].kind() == (bitwuzla::Kind::BV_CONCAT))) + { + auto p1_parameter = p[1].children(); + + if (p1_parameter[0].kind() == (bitwuzla::Kind::BV_EXTRACT)) + { + auto p0_parameter = p[0].children(); + auto p10_parameter = p1_parameter[0].children(); + + if (is_x_y(p0_parameter[0], p10_parameter[0])) + { + if (p1_parameter[1].kind() == (bitwuzla::Kind::BV_EXTRACT)) + { + auto p11_parameter = p1_parameter[1].children(); + + // CONCAT(SLICE(X, i, j), CONCAT(SLICE(X, k, l), SLICE(Z, m, n))) => CONCAT(CONCAT(SLICE(X, i, j), SLICE(X, k, l)), SLICE(Z, m, n))) + if (!is_x_y(p11_parameter[0] ,p10_parameter[0])) + { + auto concatination = bitwuzla::mk_term(bitwuzla::Kind::BV_CONCAT, {p[0], p1_parameter[0]}); + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_CONCAT, {concatination, p1_parameter[1]})); + } + } + else if (p1_parameter[1].kind() == (bitwuzla::Kind::BV_CONCAT)) + { + auto p11_parameter = p1_parameter[1].children(); + + if (p11_parameter[0].kind() == (bitwuzla::Kind::BV_EXTRACT)) + { + auto p110_parameter = p11_parameter[0].children(); + + // CONCAT(SLICE(X, i, j), CONCAT(SLICE(X, k, l), CONCAT(SLICE(Y, m, n), Z))) => CONCAT(CONCAT(SLICE(X, i, j), SLICE(X, k, l)), CONCAT(SLICE(Y, m, n), Z))) + if (!is_x_y(p110_parameter[0], p10_parameter[0])) + { + auto c1 = bitwuzla::mk_term(bitwuzla::Kind::BV_CONCAT, {p[0], p1_parameter[0]}); + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_CONCAT, {c1, p1_parameter[1]})); + } + } + } + else + { + // CONCAT(SLICE(X, i, j), CONCAT(SLICE(X, k, l), Y)) => CONCAT(CONCAT(SLICE(X, i, j), SLICE(X, k, l)), Y)) + auto concatination = bitwuzla::mk_term(bitwuzla::Kind::BV_CONCAT, {p[0], p1_parameter[0]}); + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_CONCAT, {concatination, p1_parameter[1]})); + } + } + } + } + + if ((p[0].kind() == bitwuzla::Kind::BV_EXTRACT) && (p[1].kind() == bitwuzla::Kind::BV_EXTRACT)) + { + auto p0_parameter = p[0].children(); + auto p1_parameter = p[1].children(); + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + // CONCAT(SLICE(X, j+1, k), SLICE(X, i, j)) => SLICE(X, i, k) + //j and k swapped for bitwuzla + if ((p[1].indices()[0] == (p[0].indices()[1] - 1))) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_EXTRACT, {p0_parameter[0]}, {p[0].indices()[0], p[1].indices()[1]})); + } + + // CONCAT(SLICE(X, j, j), SLICE(X, i, j)) => SEXT(SLICE(X, i, j), j-i+1) + if ((p[1].indices()[0] == p[0].indices()[0]) && (p[1].indices()[0] == p[0].indices()[1])) + { + return OK(bitwuzla::mk_term(bitwuzla::Kind::BV_SIGN_EXTEND, {p[1]}, {1})); + } + + // std::cout << "did not trigger any case"< SymbolicExecution::constant_propagation(const bitwuzla::Term& node, std::vector& values) + { + // if (node.get_arity() != p.size()) + // { + // return ERR("could not propagate constants: arity does not match number of parameters"); + // } + + switch (node.kind()) + { + case bitwuzla::Kind::BV_AND: { + return ConstantPropagation::And(values[0], values[1]); + } + case bitwuzla::Kind::AND: { + if (values[0].is_true() & values[1].is_true()) + { + return OK(bitwuzla::mk_true()); + } + return OK(bitwuzla::mk_false()); + } + case bitwuzla::Kind::BV_OR: { + return ConstantPropagation::Or(values[0], values[1]); + } + case bitwuzla::Kind::OR: { + if (values[0].is_true() | values[1].is_true()) + { + return OK(bitwuzla::mk_true()); + } + return OK(bitwuzla::mk_false()); + } + case bitwuzla::Kind::BV_NOT: { + return ConstantPropagation::Not(values[0]); + } + + case bitwuzla::Kind::NOT: { + if (values[0].is_true()) + { + return OK(bitwuzla::mk_false()); + } + return OK(bitwuzla::mk_true()); + } + case bitwuzla::Kind::BV_XOR: { + return ConstantPropagation::Xor(values[0], values[1]); + } + case bitwuzla::Kind::XOR: { + if (values[0].is_true() ^ values[1].is_true()) + { + return OK(bitwuzla::mk_true()); + } + return OK(bitwuzla::mk_false()); + } + case bitwuzla::Kind::BV_ADD: { + return ConstantPropagation::Add(values[0], values[1]); + } + case bitwuzla::Kind::BV_SUB: { + return ConstantPropagation::Sub(values[0], values[1]); + } + case bitwuzla::Kind::BV_MUL: { + return ConstantPropagation::Mul(values[0], values[1]); + } + case bitwuzla::Kind::BV_EXTRACT: { + return ConstantPropagation::BV_EXTRACT(values[0], node.indices()[0], node.indices()[1]); + } + case bitwuzla::Kind::BV_CONCAT: { + return ConstantPropagation::CONCAT(values[0], values[1]); + } + case bitwuzla::Kind::BV_ZERO_EXTEND: { + return ConstantPropagation::BV_ZERO_EXTEND(values[0], node.indices()[0]); + } + case bitwuzla::Kind::BV_SIGN_EXTEND: { + return ConstantPropagation::BV_SIGN_EXTEND(values[0], node.indices()[0]); + } + case bitwuzla::Kind::EQUAL: { + return ConstantPropagation::Equal(values[0], values[1]); + } + case bitwuzla::Kind::BV_SLE: { + return ConstantPropagation::Sle(values[0], values[1]); + } + case bitwuzla::Kind::BV_SLT: { + return ConstantPropagation::Slt(values[0], values[1]); + } + case bitwuzla::Kind::BV_ULE: { + return ConstantPropagation::Ule(values[0], values[1]); + } + case bitwuzla::Kind::BV_ULT: { + return ConstantPropagation::Ult(values[0], values[1]); + } + case bitwuzla::Kind::ITE: { + return ConstantPropagation::Ite(values[0], values[1], values[2]); + } + case bitwuzla::Kind::BV_SDIV: + // TODO implement + case bitwuzla::Kind::BV_UDIV: + // TODO implement + case bitwuzla::Kind::BV_SREM: + // TODO implement + case bitwuzla::Kind::BV_UREM: + // TODO implement + default: + return ERR("could not propagate constants: not implemented for given node type"); + } + } + } // namespace SMT +} // namespace hal \ No newline at end of file diff --git a/plugins/bitwuzla_utils/test/CMakeLists.txt b/plugins/bitwuzla_utils/test/CMakeLists.txt new file mode 100644 index 00000000000..e0d5bc20246 --- /dev/null +++ b/plugins/bitwuzla_utils/test/CMakeLists.txt @@ -0,0 +1,16 @@ +if(BUILD_TESTS) + include_directories(${gtest_SOURCE_DIR}/include ${gtest_SOURCE_DIR} ${CMAKE_SOURCE_DIR}/include ${CMAKE_SOURCE_DIR}/tests ${CMAKE_SOURCE_DIR}/plugins/bitwuzla_utils/include) + + add_executable(runTest-bitwuzla_utils bitwuzla_utils.cpp) + add_executable(runTest-bitwuzla_simplification bitwuzla_simplification.cpp) + + target_link_libraries(runTest-bitwuzla_utils bitwuzla_utils gtest hal::core hal::netlist test_utils) + target_link_libraries(runTest-bitwuzla_simplification bitwuzla_utils gtest hal::core hal::netlist test_utils) + + add_test(runTest-bitwuzla_utils ${CMAKE_BINARY_DIR}/bin/hal_plugins/runTest-bitwuzla_utils --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) + add_test(runTest-bitwuzla_simplification ${CMAKE_BINARY_DIR}/bin/hal_plugins/runTest-bitwuzla_simplification --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) + + if(${CMAKE_BUILD_TYPE} STREQUAL "Debug") + add_sanitizers(runTest-bitwuzla_utils) + endif() +endif() \ No newline at end of file diff --git a/plugins/bitwuzla_utils/test/bitwuzla_simplification.cpp b/plugins/bitwuzla_utils/test/bitwuzla_simplification.cpp new file mode 100644 index 00000000000..41faf9f6ff2 --- /dev/null +++ b/plugins/bitwuzla_utils/test/bitwuzla_simplification.cpp @@ -0,0 +1,874 @@ +#include "bitwuzla_utils/symbolic_execution.h" +#include "hal_core/netlist/boolean_function.h" +#include "hal_core/netlist/boolean_function/solver.h" +#include "hal_core/netlist/boolean_function/types.h" +#include "netlist_test_utils.h" + +#include "gtest/gtest.h" +#include +#include +#include + +namespace hal +{ + + TEST(BooleanFunction, ConstantSimplification) + { + const auto _0 = BooleanFunction::Const(0, 1), _1 = BooleanFunction::Const(1, 1), _A = BooleanFunction::Const(0xA, 4), a = BooleanFunction::Var("A"), i1 = BooleanFunction::Index(1, 4), + i2 = BooleanFunction::Index(2, 4), i4 = BooleanFunction::Index(4, 4); + + + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(~_1).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(~_0).get()).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 | _0).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 | _1).get()).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_1 | _1).get()).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 & _0).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 & _1).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_1 & _1).get()).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 ^ _0).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 ^ _1).get()).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_1 ^ _1).get()).get().is_bv_value_zero()); + + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 + _0).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 + _1).get()).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_1 + _0).get()).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_1 + _1).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 - _0).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 - _1).get()).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_1 - _0).get()).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_1 - _1).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 * _0).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_0 * _1).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_1 * _0).get()).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(_1 * _1).get()).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(BooleanFunction::Const(100, 8) + BooleanFunction::Const(50, 8)).get()).get().value(10) == "150"); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(BooleanFunction::Const(200, 8) + BooleanFunction::Const(60, 8)).get()).get().value(10) == "4"); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(BooleanFunction::Const(100, 8) - BooleanFunction::Const(50, 8)).get()).get().value(10) == "50"); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(BooleanFunction::Const(50, 8) - BooleanFunction::Const(100, 8)).get()).get().value(10) == "206"); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(BooleanFunction::Const(5, 8) * BooleanFunction::Const(5, 8)).get()).get().value(10) == "25"); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(BooleanFunction::Const(50, 8) * BooleanFunction::Const(50, 8)).get()).get().value(10) == "196"); + + EXPECT_TRUE(bitwuzla_utils::simplify((bitwuzla_utils::from_bf(a | _1).get())).get().is_bv_value_ones()); + EXPECT_TRUE(bitwuzla_utils::simplify((bitwuzla_utils::from_bf(a ^ a).get())).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify((bitwuzla_utils::from_bf(a & _0).get())).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify((bitwuzla_utils::from_bf(a - a).get())).get().is_bv_value_zero()); + EXPECT_TRUE(bitwuzla_utils::simplify((bitwuzla_utils::from_bf(a * _0).get())).get().is_bv_value_zero()); + + { + { + auto res = BooleanFunction::Slice(_A.clone(), i1.clone(), i1.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_bv_value_ones()); + } + { + auto res = BooleanFunction::Slice(_A.clone(), i2.clone(), i2.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_bv_value_zero()); + } + { + auto res = BooleanFunction::Slice(_A.clone(), i1.clone(), i2.clone(), 2); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_bv_value_one()); + } + { + auto res = BooleanFunction::Concat(_1.clone(), _0.clone(), 2); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().value(10) == "2"); + } + { + auto res = BooleanFunction::Concat(_A.clone(), _0.clone(), 5); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().value(10) == "20"); + } + { + auto res = BooleanFunction::Zext(_1.clone(), i4.clone(), 4); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_bv_value_one()); + } + { + auto res = BooleanFunction::Sext(_0.clone(), i4.clone(), 4); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_bv_value_zero()); + } + { + auto res = BooleanFunction::Sext(_1.clone(), i4.clone(), 4); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().value(10) == "15"); + } + } + + { + { + auto res = BooleanFunction::Eq(_0.clone(), _0.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Eq(_0.clone(), _1.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + { + auto res = BooleanFunction::Eq(_1.clone(), _0.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + { + auto res = BooleanFunction::Eq(_1.clone(), _1.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + + { + auto res = BooleanFunction::Slt(BooleanFunction::Const(0x0, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + { + auto res = BooleanFunction::Slt(BooleanFunction::Const(0xE, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Slt(BooleanFunction::Const(0xF, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + { + auto res = BooleanFunction::Slt(BooleanFunction::Const(0xC, 4), BooleanFunction::Const(0x3, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Slt(BooleanFunction::Const(0xF, 4), BooleanFunction::Const(0xA, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + + { + auto res = BooleanFunction::Sle(BooleanFunction::Const(0x0, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Sle(BooleanFunction::Const(0xE, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Sle(BooleanFunction::Const(0xF, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Sle(BooleanFunction::Const(0xC, 4), BooleanFunction::Const(0x3, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Sle(BooleanFunction::Const(0xF, 4), BooleanFunction::Const(0xA, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + + { + auto res = BooleanFunction::Ule(BooleanFunction::Const(0x0, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Ule(BooleanFunction::Const(0xE, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Ule(BooleanFunction::Const(0xF, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Ule(BooleanFunction::Const(0xF, 4), BooleanFunction::Const(0xA, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + + { + auto res = BooleanFunction::Ult(BooleanFunction::Const(0x0, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Ult(BooleanFunction::Const(0xE, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + { + auto res = BooleanFunction::Ult(BooleanFunction::Const(0xF, 4), BooleanFunction::Const(0xF, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + { + auto res = BooleanFunction::Ult(BooleanFunction::Const(0xF, 4), BooleanFunction::Const(0xA, 4), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + + // { + // auto res = BooleanFunction::Ite(_0.clone(), _1.clone(), _0.clone(), 1); + // ASSERT_TRUE(res.is_ok()); + // EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_bv_value_zero()); + // } + // { + // auto res = BooleanFunction::Ite(_1.clone(), _1.clone(), _0.clone(), 1); + // ASSERT_TRUE(res.is_ok()); + // EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_bv_value_ones()); + // } + } + } + + TEST(BooleanFunction, SimplificationRules) + { + const auto a = BooleanFunction::Var("A"), b = BooleanFunction::Var("B"), c = BooleanFunction::Var("C"), d = BooleanFunction::Var("D", 16), e = BooleanFunction::Var("E", 16), + f = BooleanFunction::Var("F", 16), _0 = BooleanFunction::Const(0, 1), _1 = BooleanFunction::Const(1, 1), i0 = BooleanFunction::Index(0, 1); + + //////////////////////////////////////////////////////////////////////// + // AND RULES + //////////////////////////////////////////////////////////////////////// + + // (a & 0) => 0 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a & _0).get()).get()).get(), _0); + // (a & 1) => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a & _1).get()).get()).get(), a); + // (a & a) => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a & a).get()).get()).get(), a); + // (a & ~a) => 0 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a & ~a).get()).get()).get(), _0); + + // (a & b) & a => a & b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & b) & a).get()).get()).get(), a & b); + // (a & b) & b => a & b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & b) & b).get()).get()).get(), a & b); + // a & (b & a) => a & b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a & (b & a)).get()).get()).get(), a & b); + // b & (b & a) => a & b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(b & (b & a)).get()).get()).get(), a & b); + + // a & (a | b) => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a & (a | b)).get()).get()).get(), a); + // b & (a | b) => b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(b & (a | b)).get()).get()).get(), b); + // (a | b) & a => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a | b) & a).get()).get()).get(), a); + // (a | b) & b => b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a | b) & b).get()).get()).get(), b); + + // (~a & b) & a => 0 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((~a & b) & a).get()).get()).get(), _0); + // (a & ~b) & b => 0 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & ~b) & b).get()).get()).get(), _0); + // a & (b & ~a) => 0 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a & (b & ~a)).get()).get()).get(), _0); + // b & (~b & a) => 0 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(b & (~b & a)).get()).get()).get(), _0); + + // a & (~a | b) => a & b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a & (~a | b)).get()).get()).get(), a & b); + // b & (a | ~b) => a & b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(b & (a | ~b)).get()).get()).get(), a & b); + // (~a | b) & a => a & b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((~a | b) & a).get()).get()).get(), a & b); + // (a | ~b) & b => a & b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a | ~b) & b).get()).get()).get(), a & b); + + //////////////////////////////////////////////////////////////////////// + // OR RULES + //////////////////////////////////////////////////////////////////////// + + // (a | 0) => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a | _0).get()).get()).get(), a); + // (a | 1) => 1 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a | _1).get()).get()).get(), _1); + // (a | a) => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a | a).get()).get()).get(), a); + // (a | ~a) => 1 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a | ~a).get()).get()).get(), _1); + + // a | (a | b) => a | b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a | (a | b)).get()).get()).get(), a | b); + // b | (a | b) => a | b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(b | (a | b)).get()).get()).get(), a | b); + // (a | b) | a => a | b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a | b) | a).get()).get()).get(), a | b); + // (a | b) | b => a | b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a | b) | b).get()).get()).get(), a | b); + + // (a & b) | a => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & b) | a).get()).get()).get(), a); + // (a & b) | b => b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & b) | b).get()).get()).get(), b); + // a | (b & a) => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a | (b & a)).get()).get()).get(), a); + // b | (b & a) => b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(b | (b & a)).get()).get()).get(), b); + + // a | (~a | b) => 1 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a | (~a | b)).get()).get()).get(), _1); + // b | (a | ~b) => 1 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(b | (a | ~b)).get()).get()).get(), _1); + // (~a | b) | a => 1 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((~a | b) | a).get()).get()).get(), _1); + // (a | ~b) | b => 1 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a | ~b) | b).get()).get()).get(), _1); + + // (~a & b) | a => a | b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((~a & b) | a).get()).get()).get(), a | b); + // (a & ~b) | b => a | b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & ~b) | b).get()).get()).get(), a | b); + // a | (b & ~a) => a | b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a | (b & ~a)).get()).get()).get(), a | b); + // b | (~b & a) => a | b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(b | (~b & a)).get()).get()).get(), a | b); + + //////////////////////////////////////////////////////////////////////// + // NOT RULES + //////////////////////////////////////////////////////////////////////// + + // ~~a => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(~(~a)).get()).get()).get(), a); + // ~(~a | ~b) => a & b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(~(~a | ~b)).get()).get()).get(), a & b); + // ~(~a & ~b) => a | b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(~(~a & ~b)).get()).get()).get(), a | b); + + //////////////////////////////////////////////////////////////////////// + // XOR RULES + //////////////////////////////////////////////////////////////////////// + + // (a ^ 0) => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a ^ _0).get()).get()).get(), a); + // (a ^ 1) => ~a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a ^ _1).get()).get()).get(), ~a); + // (a ^ a) => 0 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a ^ a).get()).get()).get(), _0); + // (a ^ ~a) => 1 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a ^ ~a).get()).get()).get(), _1); + + //////////////////////////////////////////////////////////////////////// + // ADD RULES + //////////////////////////////////////////////////////////////////////// + + // (a + 0) => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a + _0).get()).get()).get(), a); + + //////////////////////////////////////////////////////////////////////// + // SUB RULES + //////////////////////////////////////////////////////////////////////// + + // (a - 0) => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a - _0).get()).get()).get(), a); + // (a - a) => 0 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a - a).get()).get()).get(), _0); + + //////////////////////////////////////////////////////////////////////// + // MUL RULES + //////////////////////////////////////////////////////////////////////// + + // (a * 0) => 0 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a * _0).get()).get()).get(), _0); + // (a * 1) => a + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(a * _1).get()).get()).get(), a); + + //////////////////////////////////////////////////////////////////////// + // SDIV RULES + //////////////////////////////////////////////////////////////////////// + + // (a /s 1) => a + { + auto res = BooleanFunction::Sdiv(a.clone(), _1.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), a); + } + // (a /s a) => 1 + { + auto res = BooleanFunction::Sdiv(a.clone(), a.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), _1); + } + + //////////////////////////////////////////////////////////////////////// + // UDIV RULES + //////////////////////////////////////////////////////////////////////// + + // (a /s 1) => a + { + auto res = BooleanFunction::Udiv(a.clone(), _1.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), a); + } + // (a /s a) => 1 + { + auto res = BooleanFunction::Udiv(a.clone(), a.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), _1); + } + + //////////////////////////////////////////////////////////////////////// + // SREM RULES + //////////////////////////////////////////////////////////////////////// + + // (a %s 1) => 0 + { + auto res = BooleanFunction::Srem(a.clone(), _1.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), _0); + } + // (a %s a) => 0 + { + auto res = BooleanFunction::Srem(a.clone(), a.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), _0); + } + + //////////////////////////////////////////////////////////////////////// + // UREM RULES + //////////////////////////////////////////////////////////////////////// + + // (a % 1) => 0 + { + auto res = BooleanFunction::Urem(a.clone(), _1.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), _0); + } + // (a % a) => 0 + { + auto res = BooleanFunction::Urem(a.clone(), a.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), _0); + } + + //////////////////////////////////////////////////////////////////////// + // SLICE RULES + //////////////////////////////////////////////////////////////////////// + + // SLICE(p, 0, 0) => p (if p is 1-bit wide) + { + auto res = BooleanFunction::Slice(a.clone(), i0.clone(), i0.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), a); + } + + //////////////////////////////////////////////////////////////////////// + // EQUALITY RULES + //////////////////////////////////////////////////////////////////////// + + // X == X => 1 + { + auto res = BooleanFunction::Eq(a.clone(), a.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + //////////////////////////////////////////////////////////////////////// + // SIGNED LESS THAN RULES + //////////////////////////////////////////////////////////////////////// + + // X <=s X => 1 + { + auto res = BooleanFunction::Sle(a.clone(), a.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + + //////////////////////////////////////////////////////////////////////// + // SIGNED LESS THAN RULES + //////////////////////////////////////////////////////////////////////// + + // X 0 + { + auto res = BooleanFunction::Slt(a.clone(), a.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + + //////////////////////////////////////////////////////////////////////// + // UNSIGNED LESS THAN RULES + //////////////////////////////////////////////////////////////////////// + + // X <= X => 1 + { + auto res = BooleanFunction::Ule(a.clone(), a.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_true()); + } + + //////////////////////////////////////////////////////////////////////// + // UNSIGNED LESS THAN RULES + //////////////////////////////////////////////////////////////////////// + + // X < 0 => 0 + { + auto res = BooleanFunction::Ult(a.clone(), _0.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + // X < X => 0 + { + auto res = BooleanFunction::Ult(a.clone(), a.clone(), 1); + ASSERT_TRUE(res.is_ok()); + EXPECT_TRUE(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get().is_false()); + } + + //////////////////////////////////////////////////////////////////////// + // IF-THEN-ELSE RULES + //////////////////////////////////////////////////////////////////////// + + + //TODO + // ITE not implemented + + // // ITE(0, a, b) => b + // { + // auto res = BooleanFunction::Ite(_0.clone(), a.clone(), b.clone(), 1); + // ASSERT_TRUE(res.is_ok()); + // EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), b); + // } + // // ITE(1, a, b) => a + // { + // auto res = BooleanFunction::Ite(_1.clone(), a.clone(), b.clone(), 1); + // ASSERT_TRUE(res.is_ok()); + // EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), a); + // } + // // ITE(a, b, b) => b + // { + // auto res = BooleanFunction::Ite(a.clone(), b.clone(), b.clone(), 1); + // ASSERT_TRUE(res.is_ok()); + // EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), b); + // } + + //////////////////////////////////////////////////////////////////////// + // CONCAT RULES + //////////////////////////////////////////////////////////////////////// + + // CONCAT(SLICE(X, j+1, k), SLICE(X, i, j)) => SLICE(X, i, k) + { + auto s1 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(7, d.size()), 8); + auto s2 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(8, d.size()), BooleanFunction::Index(15, d.size()), 8); + auto s3 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(15, d.size()), 16); + + ASSERT_TRUE(s1.is_ok()); + ASSERT_TRUE(s2.is_ok()); + ASSERT_TRUE(s3.is_ok()); + + auto res = BooleanFunction::Concat(s2.get(), s1.get(), s1.get().size() + s2.get().size()); + + ASSERT_TRUE(res.is_ok()); + + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), s3.get()); + } + + // CONCAT(SLICE(X, j, j), SLICE(X, i, j)) => SEXT(SLICE(X, i, j), j-i+1) + { + auto s1 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(7, d.size()), 8); + auto s2 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(7, d.size()), BooleanFunction::Index(7, d.size()), 1); + + ASSERT_TRUE(s1.is_ok()); + ASSERT_TRUE(s2.is_ok()); + + auto sext1 = BooleanFunction::Sext(s1.get(), BooleanFunction::Index(s1.get().size() + 1, s1.get().size() + 1), s1.get().size() + 1); + + ASSERT_TRUE(sext1.is_ok()); + + auto res = BooleanFunction::Concat(s2.get(), s1.get(), s1.get().size() + s2.get().size()); + + ASSERT_TRUE(res.is_ok()); + + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), sext1.get()); + } + + // CONCAT(SLICE(X, 0, 7), CONCAT(SLICE(X, 8, 15), Y)) => CONCAT(CONCAT(SLICE(X, 0, 7), SLICE(X, 8, 15)), Y)) + { + auto s1 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(7, d.size()), 8); + auto s2 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(8, d.size()), BooleanFunction::Index(15, d.size()), 8); + + ASSERT_TRUE(s1.is_ok()); + ASSERT_TRUE(s2.is_ok()); + + auto c1 = BooleanFunction::Concat(s2.get(), a.clone(), s2.get().size() + a.size()); + auto c2 = BooleanFunction::Concat(s1.get(), s2.get(), s1.get().size() + s2.get().size()); + + ASSERT_TRUE(c1.is_ok()); + ASSERT_TRUE(c2.is_ok()); + + auto c3 = BooleanFunction::Concat(c2.get(), a.clone(), c2.get().size() + a.size()); + + auto res = BooleanFunction::Concat(s1.get(), c1.get(), s1.get().size() + c1.get().size()); + + ASSERT_TRUE(c3.is_ok()); + ASSERT_TRUE(res.is_ok()); + + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), c3.get()); + } + + // CONCAT(SLICE(X, 0, 7), CONCAT(SLICE(X, 8, 15), SLICE(Z, 8, 15))) => CONCAT(CONCAT(SLICE(X, 0, 7), SLICE(X, 8, 15)), SLICE(Z, 8, 15))) + { + auto s1 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(7, d.size()), 8); + auto s2 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(8, d.size()), BooleanFunction::Index(15, d.size()), 8); + auto s3 = BooleanFunction::Slice(e.clone(), BooleanFunction::Index(8, e.size()), BooleanFunction::Index(15, e.size()), 8); + + ASSERT_TRUE(s1.is_ok()); + ASSERT_TRUE(s2.is_ok()); + ASSERT_TRUE(s3.is_ok()); + + auto c1 = BooleanFunction::Concat(s2.get(), s3.get(), s2.get().size() + s3.get().size()); + auto c2 = BooleanFunction::Concat(s1.get(), s2.get(), s1.get().size() + s2.get().size()); + + ASSERT_TRUE(c1.is_ok()); + ASSERT_TRUE(c2.is_ok()); + + auto c3 = BooleanFunction::Concat(c2.get(), s3.get(), c2.get().size() + s3.get().size()); + + auto res = BooleanFunction::Concat(s1.get(), c1.get(), s1.get().size() + c1.get().size()); + + ASSERT_TRUE(c3.is_ok()); + ASSERT_TRUE(res.is_ok()); + + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), c3.get()); + } + + // CONCAT(SLICE(X, 0, 7), CONCAT(SLICE(X, 8, 15), SLICE(X, 8, 15))) => CONCAT(SLICE(X, 0, 7), CONCAT(SLICE(X, 8, 15), SLICE(X, 8, 15))) + { + auto s1 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(7, d.size()), 8); + auto s2 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(8, d.size()), BooleanFunction::Index(15, d.size()), 8); + auto s3 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(8, d.size()), BooleanFunction::Index(15, d.size()), 8); + + ASSERT_TRUE(s1.is_ok()); + ASSERT_TRUE(s2.is_ok()); + ASSERT_TRUE(s3.is_ok()); + + auto c1 = BooleanFunction::Concat(s2.get(), s3.get(), s2.get().size() + s3.get().size()); + + ASSERT_TRUE(c1.is_ok()); + + auto res = BooleanFunction::Concat(s1.get(), c1.get(), s1.get().size() + c1.get().size()); + + ASSERT_TRUE(res.is_ok()); + + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), res.get()); + } + + // CONCAT(SLICE(X, i, j), CONCAT(SLICE(X, k, l), CONCAT(SLICE(Y, m, n), Z))) => CONCAT(CONCAT(SLICE(X, i, j), SLICE(X, k, l)), CONCAT(SLICE(Y, m, n), Z))) + { + auto s1 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(7, d.size()), 8); + auto s2 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(8, d.size()), BooleanFunction::Index(15, d.size()), 8); + auto s3 = BooleanFunction::Slice(e.clone(), BooleanFunction::Index(8, e.size()), BooleanFunction::Index(15, e.size()), 8); + + ASSERT_TRUE(s1.is_ok()); + ASSERT_TRUE(s2.is_ok()); + ASSERT_TRUE(s3.is_ok()); + + auto c1 = BooleanFunction::Concat(s3.get().clone(), f.clone(), s3.get().size() + f.size()); + auto c2 = BooleanFunction::Concat(s2.get().clone(), c1.get().clone(), s2.get().size() + c1.get().size()); + + auto c3 = BooleanFunction::Concat(s1.get().clone(), s2.get().clone(), s1.get().size() + s2.get().size()); + auto c4 = BooleanFunction::Concat(c3.get().clone(), c1.get().clone(), c3.get().size() + c1.get().size()); + + ASSERT_TRUE(c1.is_ok()); + ASSERT_TRUE(c2.is_ok()); + ASSERT_TRUE(c3.is_ok()); + ASSERT_TRUE(c4.is_ok()); + auto res = BooleanFunction::Concat(s1.get(), c2.get(), s1.get().size() + c2.get().size()); + + ASSERT_TRUE(res.is_ok()); + + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), c4.get()); + } + + // CONCAT(SLICE(X, i, j), CONCAT(SLICE(X, k, l), CONCAT(SLICE(X, m, n), Z))) => CONCAT(SLICE(X, i, j), CONCAT(CONCAT(SLICE(X, k, l), SLICE(X, m, n)), Z)) + { + auto s1 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(7, d.size()), 8); + auto s2 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(4, d.size()), BooleanFunction::Index(11, d.size()), 8); + auto s3 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(8, d.size()), BooleanFunction::Index(15, d.size()), 8); + + ASSERT_TRUE(s1.is_ok()); + ASSERT_TRUE(s2.is_ok()); + ASSERT_TRUE(s3.is_ok()); + + auto c1 = BooleanFunction::Concat(s3.get(), e.clone(), s3.get().size() + e.size()); + auto c2 = BooleanFunction::Concat(s2.get(), c1.get(), s2.get().size() + c1.get().size()); + + auto c3 = BooleanFunction::Concat(s2.get(), s3.get(), s2.get().size() + s3.get().size()); + auto c4 = BooleanFunction::Concat(c3.get(), e.clone(), c3.get().size() + e.size()); + auto c5 = BooleanFunction::Concat(s1.get(), c4.get(), s1.get().size() + c4.get().size()); + + ASSERT_TRUE(c1.is_ok()); + ASSERT_TRUE(c2.is_ok()); + ASSERT_TRUE(c3.is_ok()); + ASSERT_TRUE(c4.is_ok()); + ASSERT_TRUE(c5.is_ok()); + + auto res = BooleanFunction::Concat(s1.get(), c2.get(), s1.get().size() + c2.get().size()); + + ASSERT_TRUE(res.is_ok()); + + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), c5.get()); + } + + // CONCAT(SLICE(X, 8, 15), CONCAT(SLICE(X, 0, 7), SLICE(Y, 0, 7))) => CONCAT(SLICE(X, 15, 0), SLICE(Y, 0, 7)) + { + auto s1 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(7, d.size()), 8); + auto s2 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(8, d.size()), BooleanFunction::Index(15, d.size()), 8); + auto s3 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(15, d.size()), 16); + auto s4 = BooleanFunction::Slice(e.clone(), BooleanFunction::Index(0, e.size()), BooleanFunction::Index(7, e.size()), 8); + + ASSERT_TRUE(s1.is_ok()); + ASSERT_TRUE(s2.is_ok()); + ASSERT_TRUE(s3.is_ok()); + ASSERT_TRUE(s4.is_ok()); + + auto c1 = BooleanFunction::Concat(s1.get(), s4.get(), s1.get().size() + s4.get().size()); + auto c2 = BooleanFunction::Concat(s3.get(), s4.get(), s3.get().size() + s4.get().size()); + + ASSERT_TRUE(c1.is_ok()); + ASSERT_TRUE(c2.is_ok()); + + auto res = BooleanFunction::Concat(s2.get(), c1.get(), s2.get().size() + c1.get().size()); + + ASSERT_TRUE(res.is_ok()); + + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), c2.get()); + } + return; + + // CONCAT(SLICE(X, j, j), SEXT(SLICE(X, i, j), j-i+1)) => SEXT(SLICE(X, i, j), j-i+2) + { + auto s1 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(7, d.size()), 8); + auto s2 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(7, d.size()), BooleanFunction::Index(7, d.size()), 1); + + ASSERT_TRUE(s1.is_ok()); + ASSERT_TRUE(s2.is_ok()); + + auto sext1 = BooleanFunction::Sext(s1.get(), BooleanFunction::Index(s1.get().size() + 1, s1.get().size() + 1), s1.get().size() + 1); + auto sext2 = BooleanFunction::Sext(s1.get(), BooleanFunction::Index(s1.get().size() + 2, s1.get().size() + 2), s1.get().size() + 2); + + ASSERT_TRUE(sext1.is_ok()); + ASSERT_TRUE(sext2.is_ok()); + + auto res = BooleanFunction::Concat(s2.get(), sext1.get(), sext1.get().size() + s2.get().size()); + + ASSERT_TRUE(res.is_ok()); + + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), sext2.get()); + } + + // CONCAT(SLICE(X, 7, 7), CONCAT(SEXT(SLICE(X, 0, 7), 9), Y)) => CONCAT(SEXT(SLICE(X, 0, 7), 10), Y) + { + auto s1 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(0, d.size()), BooleanFunction::Index(7, d.size()), 8); + auto s2 = BooleanFunction::Slice(d.clone(), BooleanFunction::Index(7, d.size()), BooleanFunction::Index(7, d.size()), 1); + + ASSERT_TRUE(s1.is_ok()); + ASSERT_TRUE(s2.is_ok()); + + auto sext1 = BooleanFunction::Sext(s1.get(), BooleanFunction::Index(s1.get().size() + 1, s1.get().size() + 1), s1.get().size() + 1); + auto sext2 = BooleanFunction::Sext(s1.get(), BooleanFunction::Index(s1.get().size() + 2, s1.get().size() + 2), s1.get().size() + 2); + + ASSERT_TRUE(sext1.is_ok()); + ASSERT_TRUE(sext2.is_ok()); + + auto c1 = BooleanFunction::Concat(sext1.get(), e.clone(), sext1.get().size() + e.size()); + auto c2 = BooleanFunction::Concat(sext2.get(), e.clone(), sext2.get().size() + e.size()); + + ASSERT_TRUE(c1.is_ok()); + ASSERT_TRUE(c2.is_ok()); + + auto res = BooleanFunction::Concat(s2.get(), c1.get(), c1.get().size() + s2.get().size()); + + ASSERT_TRUE(res.is_ok()); + + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf(res.get()).get()).get()).get(), c2.get()); + } + + //////////////////////////////////////////////////////////////////////// + // GENERAL SIMPLIFICATION RULES + //////////////////////////////////////////////////////////////////////// + + // (a & ~a) | (b & ~b) => 0 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & ~a) | (b & ~b)).get()).get()).get(), _0); + // (a & b) | (~a & b) => b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & b) | (~a & b)).get()).get()).get(), b); + // (a & ~b) | (~a & ~b) => ~b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & ~b) | (~a & ~b)).get()).get()).get(), ~b); + + // (a & b) | (~a & b) | (a & ~b) | (~a & ~b) => 1 + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & b) | (~a & b) | (a & ~b) | (~a & ~b)).get()).get()).get(), _1); + // (a | b) | (b & c) => a | b + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a | b) | (b & c)).get()).get()).get(), a | b); + // (a & c) | (b & ~c) | (a & b) => (b | c) & (a | ~c) + EXPECT_EQ(bitwuzla_utils::to_bf(bitwuzla_utils::simplify(bitwuzla_utils::from_bf((a & c) | (b & ~c) | (a & b)).get()).get()).get(), (b | c) & (a | ~c)); + } + + TEST(BooleanFunction, SimplificationPerformance) + { + const auto function = + BooleanFunction::from_string( + "((((((((((((((((((((((((((((((((0b0 | (((((I0 & (! I1)) & (! I2)) & (! I3)) & (! I4)) & (! I5))) | ((((((! I0) & I1) & (! I2)) & (! I3)) & (! I4)) & (! I5))) | (((((I0 & I1) & (! " + "I2)) & (! I3)) & (! I4)) & (! I5))) | ((((((! I0) & (! I1)) & I2) & (! I3)) & (! I4)) & (! I5))) | (((((I0 & I1) & I2) & (! I3)) & (! I4)) & (! I5))) | (((((I0 & (! I1)) & (! I2)) & " + "I3) & (! I4)) & (! I5))) | (((((I0 & I1) & (! I2)) & I3) & (! I4)) & (! I5))) | ((((((! I0) & (! I1)) & I2) & I3) & (! I4)) & (! I5))) | (((((I0 & I1) & I2) & I3) & (! I4)) & (! " + "I5))) | ((((((! I0) & (! I1)) & (! I2)) & (! I3)) & I4) & (! I5))) | (((((I0 & (! I1)) & (! I2)) & (! I3)) & I4) & (! I5))) | ((((((! I0) & (! I1)) & (! I2)) & I3) & I4) & (! I5))) " + "| (((((I0 & (! I1)) & (! I2)) & I3) & I4) & (! I5))) | (((((I0 & I1) & (! I2)) & I3) & I4) & (! I5))) | ((((((! I0) & I1) & I2) & I3) & I4) & (! I5))) | ((((((! I0) & I1) & (! I2)) " + "& (! I3)) & (! I4)) & I5)) | ((((((! I0) & (! I1)) & I2) & (! I3)) & (! I4)) & I5)) | ((((((! I0) & I1) & I2) & (! I3)) & (! I4)) & I5)) | ((((((! I0) & (! I1)) & (! I2)) & I3) & (! " + "I4)) & I5)) | (((((I0 & (! I1)) & (! I2)) & I3) & (! I4)) & I5)) | (((((I0 & I1) & (! I2)) & I3) & (! I4)) & I5)) | ((((((! I0) & (! I1)) & I2) & I3) & (! I4)) & I5)) | ((((((! I0) " + "& (! I1)) & (! I2)) & (! I3)) & I4) & I5)) | (((((I0 & (! I1)) & (! I2)) & (! I3)) & I4) & I5)) | ((((((! I0) & I1) & (! I2)) & (! I3)) & I4) & I5)) | ((((((! I0) & I1) & I2) & (! " + "I3)) & I4) & I5)) | (((((I0 & I1) & I2) & (! I3)) & I4) & I5)) | (((((I0 & (! I1)) & (! I2)) & I3) & I4) & I5)) | ((((((! I0) & I1) & (! I2)) & I3) & I4) & I5)) | ((((((! I0) & (! " + "I1)) & I2) & I3) & I4) & I5)) | (((((I0 & (! I1)) & I2) & I3) & I4) & I5)) | (((((I0 & I1) & I2) & I3) & I4) & I5))") + .get(); + auto bf_function = bitwuzla_utils::from_bf(function).get(); + + std::map res; + auto start = std::chrono::system_clock::now(); + const auto simplified = bitwuzla_utils::simplify(bf_function,res); + + const auto duration_in_seconds = std::chrono::duration(std::chrono::system_clock::now() - start).count(); + + for(auto [id,term]:res) + { + std::cout << id << ":" << term.str() <(std::chrono::system_clock::now() - start).count(); + + + + + start = std::chrono::system_clock::now(); + const auto bf_simplified = function.simplify(); + const auto bf_duration_in_seconds = std::chrono::duration(std::chrono::system_clock::now() - start).count(); + std::cout << "BW_simplification took "<< duration_in_seconds<< " seconds"<< std::endl; + std::cout << "BW_simplification took "<< duration_in_seconds_second_time<< " seconds the second time"<< std::endl; + std::cout << "BF_simplification took "<< bf_duration_in_seconds<< " seconds"<< std::endl; + } + +#ifdef BITWUZLA_LIBRARY + TEST(BooleanFunction, BitwuzlaTest) + { + const auto a = BooleanFunction::Var("a"), _1 = BooleanFunction::Const(1, 1); + + const auto boolean_function = a | _1; + const auto constraint = BooleanFunction::Eq(a, boolean_function, 1); + + auto s = SMT::Solver(); + auto config = SMT::QueryConfig(); + auto s_type = SMT::SolverType::Bitwuzla; + auto s_call = SMT::SolverCall::Library; + config.with_solver(s_type).with_call(s_call).with_model_generation(); + auto result = s.with_constraint(SMT::Constraint(constraint.get())).query(config); + + ASSERT_TRUE(result.is_ok()); + auto solver_result = result.get(); + EXPECT_EQ(solver_result.type, SMT::SolverResultType::Sat); + } +#endif + +} //namespace hal diff --git a/plugins/bitwuzla_utils/test/bitwuzla_utils.cpp b/plugins/bitwuzla_utils/test/bitwuzla_utils.cpp new file mode 100644 index 00000000000..5b0fedb06ba --- /dev/null +++ b/plugins/bitwuzla_utils/test/bitwuzla_utils.cpp @@ -0,0 +1,344 @@ +#include "bitwuzla_utils/bitwuzla_utils.h" + +#include "gate_library_test_utils.h" +#include "netlist_test_utils.h" + +#include +#include + +namespace hal +{ + + class BitwuzlaUtilsTest : public ::testing::Test + { + protected: + virtual void SetUp() + { + // NO_COUT_BLOCK; + test_utils::init_log_channels(); + test_utils::create_sandbox_directory(); + } + + virtual void TearDown() + { + test_utils::remove_sandbox_directory(); + } + }; + + TEST_F(BitwuzlaUtilsTest, check_bf_translation) + { + TEST_START + { + const auto bit_sizes = std::vector({1, 2, 8, 16}); + + for (const auto bits : bit_sizes) + { + auto a = BooleanFunction::Var("A", bits); + auto b = BooleanFunction::Var("B", bits); + auto c = BooleanFunction::Var("C", bits); + auto cond = BooleanFunction::Eq(a.clone(), b.clone(), 1).get(); + + auto bf_not_res = BooleanFunction::Not(a.clone(), bits); + ASSERT_TRUE(bf_not_res.is_ok()); + auto bf_not = bf_not_res.get(); + auto bff_not_res = bitwuzla_utils::from_bf(bf_not); + ASSERT_TRUE(bff_not_res.is_ok()); + auto bitwuzla_not = bff_not_res.get(); + auto bft_not_res = bitwuzla_utils::to_bf(bitwuzla_not); + ASSERT_TRUE(bft_not_res.is_ok()); + auto bft_not = bft_not_res.get(); + EXPECT_EQ(bf_not, bft_not); + + auto bf_and_res = BooleanFunction::And(a.clone(), b.clone(), bits); + ASSERT_TRUE(bf_and_res.is_ok()); + auto bf_and = bf_and_res.get(); + auto bff_and_res = bitwuzla_utils::from_bf(bf_and); + ASSERT_TRUE(bff_and_res.is_ok()); + auto bitwuzla_and = bff_and_res.get(); + auto bft_and_res = bitwuzla_utils::to_bf(bitwuzla_and); + ASSERT_TRUE(bft_and_res.is_ok()); + auto bft_and = bft_and_res.get(); + EXPECT_EQ(bf_and, bft_and); + + auto bf_or_res = BooleanFunction::Or(a.clone(), b.clone(), bits); + ASSERT_TRUE(bf_or_res.is_ok()); + auto bf_or = bf_or_res.get(); + auto bff_or_res = bitwuzla_utils::from_bf(bf_or); + ASSERT_TRUE(bff_or_res.is_ok()); + auto bitwuzla_or = bff_or_res.get(); + auto bft_or_res = bitwuzla_utils::to_bf(bitwuzla_or); + ASSERT_TRUE(bft_or_res.is_ok()); + auto bft_or = bft_or_res.get(); + EXPECT_EQ(bf_or, bft_or); + + auto bf_xor_res = BooleanFunction::Xor(a.clone(), b.clone(), bits); + ASSERT_TRUE(bf_xor_res.is_ok()); + auto bf_xor = bf_xor_res.get(); + auto bff_xor_res = bitwuzla_utils::from_bf(bf_xor); + ASSERT_TRUE(bff_xor_res.is_ok()); + auto bitwuzla_xor = bff_xor_res.get(); + auto bft_xor_res = bitwuzla_utils::to_bf(bitwuzla_xor); + ASSERT_TRUE(bft_xor_res.is_ok()); + auto bft_xor = bft_xor_res.get(); + EXPECT_EQ(bf_xor, bft_xor); + + auto bf_add_res = BooleanFunction::Add(a.clone(), b.clone(), bits); + ASSERT_TRUE(bf_add_res.is_ok()); + auto bf_add = bf_add_res.get(); + auto bff_add_res = bitwuzla_utils::from_bf(bf_add); + ASSERT_TRUE(bff_add_res.is_ok()); + auto bitwuzla_add = bff_add_res.get(); + auto bft_add_res = bitwuzla_utils::to_bf(bitwuzla_add); + ASSERT_TRUE(bft_add_res.is_ok()); + auto bft_add = bft_add_res.get(); + EXPECT_EQ(bf_add, bft_add); + + auto bf_sub_res = BooleanFunction::Sub(a.clone(), b.clone(), bits); + ASSERT_TRUE(bf_sub_res.is_ok()); + auto bf_sub = bf_sub_res.get(); + auto bff_sub_res = bitwuzla_utils::from_bf(bf_sub); + ASSERT_TRUE(bff_sub_res.is_ok()); + auto bitwuzla_sub = bff_sub_res.get(); + auto bft_sub_res = bitwuzla_utils::to_bf(bitwuzla_sub); + ASSERT_TRUE(bft_sub_res.is_ok()); + auto bft_sub = bft_sub_res.get(); + EXPECT_EQ(bf_sub, bft_sub); + + auto bf_mul_res = BooleanFunction::Mul(a.clone(), b.clone(), bits); + ASSERT_TRUE(bf_mul_res.is_ok()); + auto bf_mul = bf_mul_res.get(); + auto bff_mul_res = bitwuzla_utils::from_bf(bf_mul); + ASSERT_TRUE(bff_mul_res.is_ok()); + auto bitwuzla_mul = bff_mul_res.get(); + auto bft_mul_res = bitwuzla_utils::to_bf(bitwuzla_mul); + ASSERT_TRUE(bft_mul_res.is_ok()); + auto bft_mul = bft_mul_res.get(); + EXPECT_EQ(bf_mul, bft_mul); + + auto bf_sdiv_res = BooleanFunction::Sdiv(a.clone(), b.clone(), bits); + ASSERT_TRUE(bf_sdiv_res.is_ok()); + auto bf_sdiv = bf_sdiv_res.get(); + auto bff_sdiv_res = bitwuzla_utils::from_bf(bf_sdiv); + ASSERT_TRUE(bff_sdiv_res.is_ok()); + auto bitwuzla_sdiv = bff_sdiv_res.get(); + auto bft_sdiv_res = bitwuzla_utils::to_bf(bitwuzla_sdiv); + ASSERT_TRUE(bft_sdiv_res.is_ok()); + auto bft_sdiv = bft_sdiv_res.get(); + EXPECT_EQ(bf_sdiv, bft_sdiv); + + auto bf_udiv_res = BooleanFunction::Udiv(a.clone(), b.clone(), bits); + ASSERT_TRUE(bf_udiv_res.is_ok()); + auto bf_udiv = bf_udiv_res.get(); + auto bff_udiv_res = bitwuzla_utils::from_bf(bf_udiv); + ASSERT_TRUE(bff_udiv_res.is_ok()); + auto bitwuzla_udiv = bff_udiv_res.get(); + auto bft_udiv_res = bitwuzla_utils::to_bf(bitwuzla_udiv); + ASSERT_TRUE(bft_udiv_res.is_ok()); + auto bft_udiv = bft_udiv_res.get(); + EXPECT_EQ(bf_udiv, bft_udiv); + + auto bf_srem_res = BooleanFunction::Srem(a.clone(), b.clone(), bits); + ASSERT_TRUE(bf_srem_res.is_ok()); + auto bf_srem = bf_srem_res.get(); + auto bff_srem_res = bitwuzla_utils::from_bf(bf_srem); + ASSERT_TRUE(bff_srem_res.is_ok()); + auto bitwuzla_srem = bff_srem_res.get(); + auto bft_srem_res = bitwuzla_utils::to_bf(bitwuzla_srem); + ASSERT_TRUE(bft_srem_res.is_ok()); + auto bft_srem = bft_srem_res.get(); + EXPECT_EQ(bf_srem, bft_srem); + + auto bf_urem_res = BooleanFunction::Urem(a.clone(), b.clone(), bits); + ASSERT_TRUE(bf_urem_res.is_ok()); + auto bf_urem = bf_urem_res.get(); + auto bff_urem_res = bitwuzla_utils::from_bf(bf_urem); + ASSERT_TRUE(bff_urem_res.is_ok()); + auto bitwuzla_urem = bff_urem_res.get(); + auto bft_urem_res = bitwuzla_utils::to_bf(bitwuzla_urem); + ASSERT_TRUE(bft_urem_res.is_ok()); + auto bft_urem = bft_urem_res.get(); + EXPECT_EQ(bf_urem, bft_urem); + + auto bf_concat_res = BooleanFunction::Concat(a.clone(), b.clone(), 2 * bits); + ASSERT_TRUE(bf_concat_res.is_ok()); + auto bf_concat = bf_concat_res.get(); + auto bff_concat_res_ = bitwuzla_utils::from_bf(bf_concat); + ASSERT_TRUE(bff_concat_res_.is_ok()); + auto bitwuzla_concat = bff_concat_res_.get(); + auto bft_concat_res = bitwuzla_utils::to_bf(bitwuzla_concat); + ASSERT_TRUE(bft_concat_res.is_ok()); + auto bft_concat = bft_concat_res.get(); + EXPECT_EQ(bf_concat, bft_concat); + + auto bf_eq_res = BooleanFunction::Eq(a.clone(), b.clone(), 1); + ASSERT_TRUE(bf_eq_res.is_ok()); + auto bf_eq = bf_eq_res.get(); + auto bff_eq_res = bitwuzla_utils::from_bf(bf_eq); + ASSERT_TRUE(bff_eq_res.is_ok()); + auto bitwuzla_eq = bff_eq_res.get(); + auto bft_eq_res = bitwuzla_utils::to_bf(bitwuzla_eq); + ASSERT_TRUE(bft_eq_res.is_ok()); + auto bft_eq = bft_eq_res.get(); + EXPECT_EQ(bf_eq, bft_eq); + + auto bf_sle_res = BooleanFunction::Sle(a.clone(), b.clone(), 1); + ASSERT_TRUE(bf_sle_res.is_ok()); + auto bf_sle = bf_sle_res.get(); + auto bff_sle_res = bitwuzla_utils::from_bf(bf_sle); + ASSERT_TRUE(bff_sle_res.is_ok()); + auto bitwuzla_sle = bff_sle_res.get(); + auto bft_sle_res = bitwuzla_utils::to_bf(bitwuzla_sle); + ASSERT_TRUE(bft_sle_res.is_ok()); + auto bft_sle = bft_sle_res.get(); + EXPECT_EQ(bf_sle, bft_sle); + + auto bf_slt_res = BooleanFunction::Slt(a.clone(), b.clone(), 1); + ASSERT_TRUE(bf_slt_res.is_ok()); + auto bf_slt = bf_slt_res.get(); + auto bff_slt_res = bitwuzla_utils::from_bf(bf_slt); + ASSERT_TRUE(bff_slt_res.is_ok()); + auto bitwuzla_slt = bff_slt_res.get(); + auto bft_slt_res = bitwuzla_utils::to_bf(bitwuzla_slt); + ASSERT_TRUE(bft_slt_res.is_ok()); + auto bft_slt = bft_slt_res.get(); + EXPECT_EQ(bf_slt, bft_slt); + + auto bf_ule_res = BooleanFunction::Ule(a.clone(), b.clone(), 1); + ASSERT_TRUE(bf_ule_res.is_ok()); + auto bf_ule = bf_ule_res.get(); + auto bff_ule_res = bitwuzla_utils::from_bf(bf_ule); + ASSERT_TRUE(bff_ule_res.is_ok()); + auto bitwuzla_ule = bff_ule_res.get(); + auto bft_ule_res = bitwuzla_utils::to_bf(bitwuzla_ule); + ASSERT_TRUE(bft_ule_res.is_ok()); + auto bft_ule = bft_ule_res.get(); + EXPECT_EQ(bf_ule, bft_ule); + + auto bf_ult_res = BooleanFunction::Ult(a.clone(), b.clone(), 1); + ASSERT_TRUE(bf_ult_res.is_ok()); + auto bf_ult = bf_ult_res.get(); + auto bff_ult_res = bitwuzla_utils::from_bf(bf_ult); + ASSERT_TRUE(bff_ult_res.is_ok()); + auto bitwuzla_ult = bff_ult_res.get(); + auto bft_ult_res = bitwuzla_utils::to_bf(bitwuzla_ult); + ASSERT_TRUE(bft_ult_res.is_ok()); + auto bft_ult = bft_ult_res.get(); + EXPECT_EQ(bf_ult, bft_ult); + + auto bf_ite_res = BooleanFunction::Ite(cond.clone(), b.clone(), c.clone(), bits); + ASSERT_TRUE(bf_ite_res.is_ok()); + auto bf_ite = bf_ite_res.get(); + auto bff_ite_res = bitwuzla_utils::from_bf(bf_ite); + ASSERT_TRUE(bff_ite_res.is_ok()); // + auto bitwuzla_ite = bff_ite_res.get(); + auto bft_ite_res = bitwuzla_utils::to_bf(bitwuzla_ite); + ASSERT_TRUE(bft_ite_res.is_ok()); + auto bft_ite = bft_ite_res.get(); + EXPECT_EQ(bf_ite, bft_ite); + } + } + { + auto a = BooleanFunction::Var("A", 16); + auto i0 = BooleanFunction::Index(3, 16); + auto i1 = BooleanFunction::Index(6, 16); + + auto bf_slice_res = BooleanFunction::Slice(a.clone(), i0.clone(), i1.clone(), 4); + ASSERT_TRUE(bf_slice_res.is_ok()); + auto bf_slice = bf_slice_res.get(); + auto bff_slice_res = bitwuzla_utils::from_bf(bf_slice); + ASSERT_TRUE(bff_slice_res.is_ok()); + auto bitwuzla_slice = bff_slice_res.get(); + auto bft_slice_res = bitwuzla_utils::to_bf(bitwuzla_slice); + ASSERT_TRUE(bft_slice_res.is_ok()); + auto bft_slice = bft_slice_res.get(); + EXPECT_EQ(bf_slice, bft_slice); + } + { + auto a = BooleanFunction::Var("A", 5); + auto ext_size = BooleanFunction::Index(16, 16); + + auto bf_zext_res = BooleanFunction::Zext(a.clone(), ext_size.clone(), 16); + ASSERT_TRUE(bf_zext_res.is_ok()); + auto bf_zext = bf_zext_res.get(); + auto bff_zext_res = bitwuzla_utils::from_bf(bf_zext); + ASSERT_TRUE(bff_zext_res.is_ok()); + auto bitwuzla_zext = bff_zext_res.get(); + auto bft_zext_res = bitwuzla_utils::to_bf(bitwuzla_zext); + ASSERT_TRUE(bft_zext_res.is_ok()); + auto bft_zext = bft_zext_res.get(); + EXPECT_EQ(bf_zext, bft_zext); + + auto bf_sext_res = BooleanFunction::Sext(a.clone(), ext_size.clone(), 16); + ASSERT_TRUE(bf_sext_res.is_ok()); + auto bf_sext = bf_sext_res.get(); + auto bff_sext_res = bitwuzla_utils::from_bf(bf_sext); + ASSERT_TRUE(bff_sext_res.is_ok()); + auto bitwuzla_sext = bff_sext_res.get(); + auto bft_sext_res = bitwuzla_utils::to_bf(bitwuzla_sext); + ASSERT_TRUE(bft_sext_res.is_ok()); + auto bft_sext = bft_sext_res.get(); + EXPECT_EQ(bf_sext, bft_sext); + } + { + auto a = BooleanFunction::Var("A", 16); + auto num_bits = BooleanFunction::Index(5, 16); + + auto bf_shl_res = BooleanFunction::Shl(a.clone(), num_bits.clone(), 16); + ASSERT_TRUE(bf_shl_res.is_ok()); + auto bf_shl = bf_shl_res.get(); + auto bff_shl_res = bitwuzla_utils::from_bf(bf_shl); + ASSERT_TRUE(bff_shl_res.is_ok()); + auto bitwuzla_shl = bff_shl_res.get(); + auto bft_shl_res = bitwuzla_utils::to_bf(bitwuzla_shl); + ASSERT_TRUE(bft_shl_res.is_ok()); + auto bft_shl = bft_shl_res.get(); + EXPECT_EQ(bf_shl, bft_shl); + + auto bf_lshr_res = BooleanFunction::Lshr(a.clone(), num_bits.clone(), 16); + ASSERT_TRUE(bf_lshr_res.is_ok()); + auto bf_lshr = bf_lshr_res.get(); + auto bff_lshr_res = bitwuzla_utils::from_bf(bf_lshr); + ASSERT_TRUE(bff_lshr_res.is_ok()); + auto bitwuzla_lshr = bff_lshr_res.get(); + auto bft_lshr_res = bitwuzla_utils::to_bf(bitwuzla_lshr); + ASSERT_TRUE(bft_lshr_res.is_ok()); + auto bft_lshr = bft_lshr_res.get(); + EXPECT_EQ(bf_lshr, bft_lshr); + + auto bf_ashr_res = BooleanFunction::Ashr(a.clone(), num_bits.clone(), 16); + ASSERT_TRUE(bf_ashr_res.is_ok()); + auto bf_ashr = bf_ashr_res.get(); + auto bff_ashr_res = bitwuzla_utils::from_bf(bf_ashr); + ASSERT_TRUE(bff_ashr_res.is_ok()); + auto bitwuzla_ashr = bff_ashr_res.get(); + auto bft_ashr_res = bitwuzla_utils::to_bf(bitwuzla_ashr); + ASSERT_TRUE(bft_ashr_res.is_ok()); + auto bft_ashr = bft_ashr_res.get(); + EXPECT_EQ(bf_ashr, bft_ashr); + + auto bf_rol_res = BooleanFunction::Rol(a.clone(), num_bits.clone(), 16); + ASSERT_TRUE(bf_rol_res.is_ok()); + auto bf_rol = bf_rol_res.get(); + auto bff_rol_res = bitwuzla_utils::from_bf(bf_rol); + ASSERT_TRUE(bff_rol_res.is_ok()); + auto bitwuzla_rol = bff_rol_res.get(); + auto bft_rol_res = bitwuzla_utils::to_bf(bitwuzla_rol); + ASSERT_TRUE(bft_rol_res.is_ok()); + auto bft_rol = bft_rol_res.get(); + EXPECT_EQ(bf_rol, bft_rol); + + auto bf_ror_res = BooleanFunction::Ror(a.clone(), num_bits.clone(), 16); + ASSERT_TRUE(bf_ror_res.is_ok()); + auto bf_ror = bf_ror_res.get(); + auto bff_ror_res = bitwuzla_utils::from_bf(bf_ror); + ASSERT_TRUE(bff_ror_res.is_ok()); + auto bitwuzla_ror = bff_ror_res.get(); + auto bft_ror_res = bitwuzla_utils::to_bf(bitwuzla_ror); + ASSERT_TRUE(bft_ror_res.is_ok()); + auto bft_ror = bft_ror_res.get(); + EXPECT_EQ(bf_ror, bft_ror); + } + + TEST_END + } +} // namespace hal diff --git a/plugins/bitwuzla_utils/test/time_tests b/plugins/bitwuzla_utils/test/time_tests new file mode 100644 index 00000000000..e173fe2ebf2 --- /dev/null +++ b/plugins/bitwuzla_utils/test/time_tests @@ -0,0 +1,138 @@ +#include "hal_core/defines.h" +#include "hal_core/netlist/decorators/subgraph_netlist_decorator.h" +#include "hal_core/netlist/gate.h" +#include "hal_core/netlist/gate_library/gate_library_manager.h" +#include "hal_core/netlist/net.h" +#include "hal_core/netlist/netlist.h" +#include "hal_core/netlist/netlist_factory.h" +#include "hal_core/netlist/netlist_parser/netlist_parser_manager.h" +#include "hal_core/netlist/netlist_utils.h" +#include "hal_core/netlist/persistent/netlist_serializer.h" +#include "hal_core/plugin_system/plugin_manager.h" +#include "hal_core/utilities/log.h" +#include "netlist_test_utils.h" + +#include +#include +#include +#include + +namespace hal +{ + class ModuelIdentificationTest : public ::testing::Test + { + protected: + ModuleIdentificationPlugin* plugin; + GateLibrary* xilinx_lib; + GateLibrary* lattice_lib; + GateLibrary* nangate_lib; + + virtual void SetUp() + { + NO_COUT_BLOCK; + plugin_manager::load_all_plugins(); + //gate_library_manager::get_gate_library("XILINX_UNISIM.hgl"); + gate_library_manager::get_gate_library("XILINX_UNISIM_hal.hgl"); + gate_library_manager::get_gate_library("ice40ultra_hal.hgl"); + gate_library_manager::get_gate_library("NangateOpenCellLibrary.hgl"); + + xilinx_lib = gate_library_manager::get_gate_library_by_name("XILINX_UNISIM_WITH_HAL_TYPES"); + lattice_lib = gate_library_manager::get_gate_library_by_name("ICE40ULTRA_WITH_HAL_TYPES"); + nangate_lib = gate_library_manager::get_gate_library_by_name("NangateOpenCellLibrary"); + plugin = plugin_manager::get_plugin_instance("module_identification"); + } + + std::unique_ptr parse_netlist(std::string netlist_path, GateLibrary* lib) + { + if (!utils::file_exists(netlist_path)) + { + std::cout << "netlist not found: " << netlist_path << std::endl; + return nullptr; + } + + std::cout << "[+] new netlist \nloading " << netlist_path << std::endl; + std::unique_ptr nl; + { + // nl = netlist_parser_manager::parse(netlist_path, lib); + nl = netlist_factory::load_netlist(netlist_path, lib); + if (nl == nullptr) + { + std::cout << "netlist couldn't be parsed" << std::endl; + return nullptr; + } + } + std::cout << "[+] loaded_netlist with " << nl->get_gates().size() << std::endl; + + return std::move(nl); + } + + virtual void TearDown() + { + NO_COUT_BLOCK; + plugin_manager::unload_all_plugins(); + } + }; + + /** + * Test verification of base test for Xilinx + * + * Functions: module_identification + */ + TEST_F(ModuelIdentificationTest, xilinx_ibex){TEST_START{std::string netlist_path = utils::get_base_directory().string() + "/bin/hal_plugins/test-files/module_identification/xilinx/ibex.hal"; + + std::unique_ptr nl; + { + // NO_COUT_BLOCK; + nl = parse_netlist(netlist_path, xilinx_lib); + } + if (nl == nullptr) + { + FAIL() << "netlist couldn't be parsed"; + } + std::vector bf_vector; + std::vector z3_vector; + std::vector bw_vector; + + auto gates = nl->get_gates(); + auto all_out_nets = nl->get_global_output_nets (); + auto z3_cfg = z3::Z3_mk_config(); + std::chrono::steady_clock::time_point begin = std::chrono::steady_clock::now(); + for(auto net: all_out_nets) + { + + auto z3 = z3::get_subgraph_z3_function(gates, net, z3_cfg); + z3_vector.push_back(z3.get()); + + } + end = std::chrono::steady_clock::now(); + std::cout << "Time difference z_3 = " << std::chrono::duration_cast(end - begin).count() << "[s]" << std::endl; + auto dec = SubgraphNetlistDecorator(nl); + begin = std::chrono::steady_clock::now(); + for(auto net: all_out_nets) + { + + + auto bf = dec.get_subgraph_function ( gates, net) ; + bf_vector.push_back(bf.get()); + + + } + std::chrono::steady_clock::time_point end = std::chrono::steady_clock::now(); + std::cout << "Time difference BF = " << std::chrono::duration_cast(end - begin).count() << "[s]" << std::endl; + begin = std::chrono::steady_clock::now(); + for(auto net: all_out_nets) + { + + auto bw = bw::get_subgraph_bw_function(gates, net); + bw_vector.push_back(bw.get()); + + + } + end = std::chrono::steady_clock::now(); + std::cout << "Time difference bw = " << std::chrono::duration_cast(end - begin).count() << "[s]" << std::endl; + std::cout << std::endl; + +} // namespace hal +TEST_END +} +; \ No newline at end of file diff --git a/plugins/boolean_influence/CMakeLists.txt b/plugins/boolean_influence/CMakeLists.txt index 47183e4dcc7..3910c5ba1a4 100644 --- a/plugins/boolean_influence/CMakeLists.txt +++ b/plugins/boolean_influence/CMakeLists.txt @@ -7,8 +7,8 @@ if(PL_BOOLEAN_INFLUENCE OR BUILD_ALL_PLUGINS) hal_add_plugin(boolean_influence SHARED HEADER ${BOOLEAN_INFLUENCE_INC} - SOURCES ${BOOLEAN_INFLUENCE_SRC} ${BOOLEAN_INFLUENCE_PYTHON_SRC} - LINK_LIBRARIES PUBLIC ${Z3_LIBRARIES} z3_utils OpenMP::OpenMP_CXX + SOURCES ${BOOLEAN_INFLUENCE_SRC} ${BOOLEAN_INFLUENCE_PYTHON_SRC} ${MOC_HDR} + LINK_LIBRARIES PUBLIC ${Z3_LIBRARIES} z3_utils OpenMP::OpenMP_CXX subprocess::subprocess PYDOC SPHINX_DOC_INDEX_FILE ${CMAKE_CURRENT_SOURCE_DIR}/documentation/boolean_influence.rst ) endif() diff --git a/plugins/boolean_influence/include/boolean_influence/plugin_boolean_influence.h b/plugins/boolean_influence/include/boolean_influence/plugin_boolean_influence.h index 815d1da1389..299e1376b5b 100644 --- a/plugins/boolean_influence/include/boolean_influence/plugin_boolean_influence.h +++ b/plugins/boolean_influence/include/boolean_influence/plugin_boolean_influence.h @@ -63,6 +63,26 @@ namespace hal */ static Result> get_boolean_influence(const z3::expr& e, const u32 num_evaluations = 32000, const std::string& unique_identifier = ""); + /** + * Generates the Boolean influence of each input variable of a Boolean function using the internal HAL functions only + * The function is slower, but can be better used in multithreading enviroment. + * + * @param[in] bf - The Boolean function. + * @param[in] num_evaluations - The amount of evaluations that are performed for each input variable. + * @returns A map from the variables that appear in the function to their Boolean influence on said function on success, an error otherwise. + */ + static Result> get_boolean_influence_with_hal_boolean_function_class(const BooleanFunction& bf, const u32 num_evaluations); + + /** + * Generates the Boolean influence of each input variable of a Boolean function using z3 expressions and substitutions/simplifications only. + * The function is slower, but can be better used in multithreading enviroment. + * + * @param[in] bf - The Boolean function. + * @param[in] num_evaluations - The amount of evaluations that are performed for each input variable. + * @returns A map from the variables that appear in the function to their Boolean influence on said function on success, an error otherwise. + */ + static Result> get_boolean_influence_with_z3_expr(const BooleanFunction& bf, const u32 num_evaluations); + /** * Generates the Boolean influence of each input variable of a Boolean function. * diff --git a/plugins/boolean_influence/src/plugin_boolean_influence.cpp b/plugins/boolean_influence/src/plugin_boolean_influence.cpp index 30f2b1e772c..b034d66213c 100644 --- a/plugins/boolean_influence/src/plugin_boolean_influence.cpp +++ b/plugins/boolean_influence/src/plugin_boolean_influence.cpp @@ -31,6 +31,23 @@ namespace hal namespace { + // period 2^96-1 + unsigned long xorshf96(u64& x, u64& y, u64& z) + { + unsigned long t; + + x ^= x << 16; + x ^= x >> 5; + x ^= x << 1; + + t = x; + x = y; + y = z; + z = t ^ x ^ y; + + return z; + } + void add_inputs(Gate* gate, std::unordered_set& gates) { if (!gate->get_type()->has_property(GateTypeProperty::combinational) || gate->is_vcc_gate() || gate->is_gnd_gate()) @@ -219,6 +236,11 @@ int main(int argc, char *argv[]) { return ERR("unable to generate Boolean influence: Cannot evaluate Boolean function deterministically for more than 16 variables but got " + std::to_string(input_vars.size())); } + if (input_vars.empty()) + { + return OK(influences); + } + std::vector replacement_vars; z3::expr_vector from_vec(expr.ctx()); @@ -239,8 +261,12 @@ int main(int argc, char *argv[]) { replaced_e = replaced_e.substitute(from_vec, to_vec); // translate expression into a c program - const std::filesystem::path directory = "/tmp/boolean_influence_tmp/"; - std::filesystem::create_directory(directory); + auto directory_res = utils::get_unique_temp_directory("boolean_influence_"); + if (directory_res.is_error()) + { + return ERR_APPEND(directory_res.get_error(), "unable to generate Boolean influence: could not create temporary directory"); + } + const std::filesystem::path directory = directory_res.get(); const std::filesystem::path file_path = directory / (unique_identifier.empty() ? ("boolean_func.cpp") : ("boolean_func_" + unique_identifier + ".cpp")); @@ -275,24 +301,49 @@ int main(int argc, char *argv[]) { const auto idx = idx_res.get(); const std::string num_evaluations_str = deterministic ? "" : std::to_string(num_evaluations); + const std::string run_command = program_name + " " + std::to_string(idx) + " " + num_evaluations_str + " 2>&1"; + + /* + auto p = subprocess::Popen( + {program_name, std::to_string(idx), num_evaluations_str}, subprocess::error{subprocess::PIPE}, subprocess::output{subprocess::PIPE}, subprocess::input{subprocess::PIPE}); + + auto p_communication = p.communicate(); + + const std::vector output_buf = p_communication.first.buf; + const std::string result = {output_buf.begin(), output_buf.end()}; - const std::string run_command = program_name + " " + std::to_string(idx) + " " + num_evaluations_str + " 2>&1"; + // TODO: + // check whether process was terminated (i.e. killed) via the subprocess + // API to channel this to the caller + p.close_input(); + p.close_output(); + p.close_error(); + p.kill(); + */ - std::array buffer; std::string result; + char buffer[129]; + memset(buffer, 0, sizeof(buffer)); FILE* pipe = popen(run_command.c_str(), "r"); if (!pipe) { return ERR("unable to generate Boolean influence: error during execution of compiled boolean program"); } - while (fgets(buffer.data(), 128, pipe) != NULL) + + while (fgets(buffer, 128, pipe) != NULL) { - result += buffer.data(); + result += buffer; + memset(buffer, 0, sizeof(buffer)); } pclose(pipe); + if (result.empty() || (result.find_first_not_of("0123456789") == std::string::npos)) + { + return ERR("unable to generate Boolean influence: result is empty or not a number, result: " + result); + } + const u32 count = std::stoi(result); const u32 real_evaluations = deterministic ? (1 << input_vars.size()) : num_evaluations; double cv = (double)(count) / (double)(real_evaluations); @@ -311,8 +362,7 @@ int main(int argc, char *argv[]) { std::remove(file_path.string().c_str()); std::remove(program_name.c_str()); - //std::filesystem::remove(directory); - + std::filesystem::remove(directory); return OK(influences); } @@ -424,6 +474,217 @@ int main(int argc, char *argv[]) { return get_boolean_influence(z3_bf, num_evaluations, unique_identifier); } + Result> BooleanInfluencePlugin::get_boolean_influence_with_hal_boolean_function_class(const BooleanFunction& bf, const u32 num_evaluations) + { + std::unordered_map influences; + std::set variables = bf.get_variable_names(); + + u64 x = 123456789, y = 362436069, z = 521288629; + + for (const auto& var : variables) + { + u32 count = 0; + for (unsigned long long i = 0; i < num_evaluations; i++) + { + std::unordered_map values; + // build value + for (const auto& var_to_set : variables) + { + if (var == var_to_set) + { + continue; + } + + BooleanFunction::Value random_value = (xorshf96(x, y, z) % 2) ? BooleanFunction::Value::ONE : BooleanFunction::Value::ZERO; + values.insert({var_to_set, random_value}); + } + + // evaluate 1 + values[var] = BooleanFunction::Value::ONE; + const auto res_1 = bf.evaluate(values); + if (res_1.is_error()) + { + return ERR_APPEND(res_1.get_error(), "unable to evaluate boolean function "); + } + + // evaluate 0 + values[var] = BooleanFunction::Value::ZERO; + const auto res_2 = bf.evaluate(values); + if (res_2.is_error()) + { + return ERR_APPEND(res_2.get_error(), "unable to evaluate boolean function "); + } + + const auto r1 = res_1.get(); + const auto r2 = res_2.get(); + + if (r1 != r2) + { + count++; + } + } + double cv = (double)(count) / (double)(num_evaluations); + influences.insert({var, cv}); + } + + return OK(influences); + } + + Result> BooleanInfluencePlugin::get_boolean_influence_with_z3_expr(const BooleanFunction& bf, const u32 num_evaluations) + { + std::unordered_map influences; + std::set variables = bf.get_variable_names(); + + auto ctx = z3::context(); + auto z3_bf = z3_utils::from_bf(bf, ctx); + + u64 x = 123456789, y = 362436069, z = 521288629; + + for (const auto& var : variables) + { + const auto var_expr = ctx.bv_const(var.c_str(), 1); + + u32 count = 0; + for (unsigned long long i = 0; i < num_evaluations; i++) + { + z3::expr_vector from_vec(ctx); + z3::expr_vector to_vec(ctx); + + // build value + for (const auto& var_to_set : variables) + { + if (var == var_to_set) + { + continue; + } + + const auto var_to_set_expr = ctx.bv_const(var_to_set.c_str(), 1); + + auto random_value = (xorshf96(x, y, z) % 2) ? ctx.bv_val(1, 1) : ctx.bv_val(0, 1); + + from_vec.push_back(var_to_set_expr); + to_vec.push_back(random_value); + } + + auto z3_bf_substitute = z3_bf.substitute(from_vec, to_vec).simplify(); + + z3::expr_vector from_vec_var(ctx); + z3::expr_vector to_vec_one(ctx); + z3::expr_vector to_vec_zero(ctx); + + from_vec_var.push_back(var_expr); + to_vec_one.push_back(ctx.bv_val(1, 1)); + to_vec_zero.push_back(ctx.bv_val(0, 1)); + + // evaluate 1 + const auto res_1 = z3_bf_substitute.substitute(from_vec_var, to_vec_one).simplify(); + if (!res_1.is_numeral()) + { + std::cout << res_1 << std::endl; + return ERR("cannot determine boolean influence of variabel " + var + ": failed to simplify to a constant"); + } + + // evaluate 0 + const auto res_2 = z3_bf_substitute.substitute(from_vec_var, to_vec_zero).simplify(); + if (!res_2.is_numeral()) + { + std::cout << res_2 << std::endl; + return ERR("cannot determine boolean influence of variabel " + var + ": failed to simplify to a constant"); + } + + const auto r1 = res_1.get_numeral_uint64(); + const auto r2 = res_2.get_numeral_uint64(); + + if (r1 != r2) + { + count++; + } + } + double cv = (double)(count) / (double)(num_evaluations); + influences.insert({var, cv}); + } + + return OK(influences); + } + + /* + Result> BooleanInfluencePlugin::get_boolean_influence_with_z3_expr(const BooleanFunction& bf, const u32 num_evaluations) + { + std::unordered_map influences; + std::set variables = bf.get_variable_names(); + + auto ctx = z3::context(); + auto z3_bf = z3_utils::from_bf(bf, ctx); + auto s = z3::solver(ctx); + + u64 x = 123456789, y = 362436069, z = 521288629; + + for (const auto& var : variables) + { + const auto var_expr = ctx.bv_const(var.c_str(), 1); + + u32 count = 0; + for (unsigned long long i = 0; i < num_evaluations; i++) + { + s.push(); + // build value + for (const auto& var_to_set : variables) + { + if (var == var_to_set) + { + continue; + } + + const auto var_to_set_expr = ctx.bv_const(var_to_set.c_str(), 1); + + auto random_value = (xorshf96(x, y, z) % 2) ? ctx.bv_val(1, 1) : ctx.bv_val(0, 1); + + s.add(var_to_set_expr == random_value); + } + + // evaluate 1 + s.push(); + s.add(var_expr == ctx.bv_val(1, 1)); + s.check(); + const auto m_1 = s.get_model(); + const auto res_1 = m_1.eval(z3_bf); + if (!res_1.is_numeral()) + { + std::cout << res_1 << std::endl; + return ERR("cannot determine boolean influence of variabel " + var + ": failed to simplify to a constant"); + } + s.pop(); + + // evaluate 0 + s.push(); + s.add(var_expr == ctx.bv_val(0, 1)); + s.check(); + const auto m_2 = s.get_model(); + const auto res_2 = m_2.eval(z3_bf); + if (!res_2.is_numeral()) + { + std::cout << res_2 << std::endl; + return ERR("cannot determine boolean influence of variabel " + var + ": failed to simplify to a constant"); + } + s.pop(); + + const auto r1 = res_1.get_numeral_uint64(); + const auto r2 = res_2.get_numeral_uint64(); + + if (r1 != r2) + { + count++; + } + s.pop(); + } + double cv = (double)(count) / (double)(num_evaluations); + influences.insert({var, cv}); + } + + return OK(influences); + } + */ + Result> BooleanInfluencePlugin::get_boolean_influence(const z3::expr& expr, const u32 num_evaluations, const std::string& unique_identifier) { return get_boolean_influence_internal(expr, num_evaluations, false, unique_identifier); diff --git a/plugins/boolean_influence/test.py b/plugins/boolean_influence/test.py index 148ec5b928f..18871639255 100644 --- a/plugins/boolean_influence/test.py +++ b/plugins/boolean_influence/test.py @@ -2,19 +2,20 @@ pl = hal_py.plugin_manager.get_plugin_instance("boolean_influence") -# g = netlist.get_gate_by_id(4) -# inf = pl.get_boolean_influences_of_gate(g) +#g = netlist.get_gate_by_id(4) +#inf = pl.get_boolean_influences_of_gate(g) -n = netlist.get_net_by_id(67) -inf = pl.get_boolean_influences_of_subcircuit(netlist.get_gates(), n, 32000) +n = netlist.get_net_by_id(68) +inf = pl.get_boolean_influences_of_subcircuit(netlist.get_gates(), n, 64000) print("Probabilistic: ") for net in inf: print(net.name, inf[net]) -# n = netlist.get_net_by_id(58) -# inf = pl.get_boolean_influences_of_subcircuit_deterministic(netlist.get_gates(), n) +n = netlist.get_net_by_id(58) +inf = pl.get_boolean_influences_of_subcircuit_deterministic(netlist.get_gates(), n) -# print("Deterministic: ") -# for net in inf: -# print(net.name, inf[net]) +print("Deterministic: ") +for net in inf: + print(net.name, inf[net]) + \ No newline at end of file diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/api/configuration.h b/plugins/dataflow_analysis/include/dataflow_analysis/api/configuration.h index c8f61367595..17b5fd3648b 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/api/configuration.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/api/configuration.h @@ -26,7 +26,13 @@ #pragma once #include "hal_core/defines.h" +#include "hal_core/netlist/gate_library/enums/gate_type_property.h" +#include "hal_core/netlist/gate_library/enums/pin_type.h" +#include "hal_core/netlist/pins/module_pin.h" +#include "hal_core/netlist/pins/pin_group.h" +#include +#include #include namespace hal @@ -34,6 +40,9 @@ namespace hal class Gate; class Module; class Grouping; + class Netlist; + class Net; + class GateType; namespace dataflow { @@ -42,6 +51,18 @@ namespace hal */ struct Configuration { + /** + * Constructs a new dataflow analysis configuration for the given netlist. + * + * @param[in] nl - The netlist. + */ + Configuration(Netlist* nl); + + /** + * The netlist to be analyzed. + */ + Netlist* netlist; + /** * Minimum size of a group. Smaller groups will be penalized during analysis. Defaults to 8. */ @@ -53,17 +74,32 @@ namespace hal std::vector expected_sizes = {}; /** - * Already identified groups of sequential gates as a vector of groups with each group being a vector of gate IDs. Defaults to an empty vector. + * Groups of gates that have already been identified as word-level groups beforehand. All gates of a group must be of one of the target gate types. Defaults to an empty vector. + */ + std::vector> known_gate_groups = {}; + + /** + * Groups of nets that have been identified as word-level datapathes beforehand. Defaults to an empty vector. + */ + std::vector> known_net_groups = {}; + + /** + * The gate types to be grouped by dataflow analysis. Defaults to an empty set. + */ + std::set gate_types = {}; + + /** + * The pin types of the pins to be considered control pins. Defaults to an empty set. */ - std::vector> known_groups = {}; + std::set control_pin_types = {}; /** - * Enable register stage identification as part of dataflow analysis. Defaults to `false`. + * Enable stage identification as part of dataflow analysis. Defaults to `false`. */ - bool enable_register_stages = false; + bool enable_stages = false; /** - * Enforce type consistency inside of a group. Defaults to `false`. + * Enforce gate type consistency inside of a group. Defaults to `false`. */ bool enforce_type_consistency = false; @@ -84,44 +120,165 @@ namespace hal Configuration& with_expected_sizes(const std::vector& sizes); /** - * Set already identified groups of sequential gates as a vector of groups with each group being a module. + * Add modules to the set of previously identified word-level structures. + * The gates contained in the modules do not have to be of the target gate types. + * The input and output pin groups of these modules will be used to guide datapath analysis. + * Only pin groups larger than `min_group_size´ will be considered. + * + * @param[in] structures - A vector of modules. + * @param[in] overwrite - Set `true` to overwrite the existing known word-level structures, `false` otherwise. Defaults to `false`. + * @returns The updated dataflow analysis configuration. + */ + Configuration& with_known_structures(const std::vector& structures, bool overwrite = false); + + /** + * Add modules to the set of previously identified word-level structures. + * The gates contained in the modules do not have to be of the target gate types. + * The input and output pin groups of these modules will be used to guide datapath analysis. + * For each module, the input and output pin groups to be considered for analysis must be specified. + * An empty pin group vector results in all pin groups of the module being considered. + * Only pin groups larger than `min_group_size´ will be considered. + * + * @param[in] structures - A vector of modules, each of them with a vector of module pin groups. + * @param[in] overwrite - Set `true` to overwrite the existing known word-level structures, `false` otherwise. Defaults to `false`. + * @returns The updated dataflow analysis configuration. + */ + Configuration& with_known_structures(const std::vector*>>>& structures, bool overwrite = false); + + /** + * Add (typically large) gates to the set of previously identified word-level structures. + * The gates do not have to be of the target gate types. + * The input and output pin groups of these gates will be used to guide datapath analysis. + * Only pin groups larger than `min_group_size´ will be considered. + * + * @param[in] structures - A vector of gates. + * @param[in] overwrite - Set `true` to overwrite the existing known word-level structures, `false` otherwise. Defaults to `false`. + * @returns The updated dataflow analysis configuration. + */ + Configuration& with_known_structures(const std::vector& structures, bool overwrite = false); + + /** + * Add (typically large) gates to the set of previously identified word-level structures. + * The gates do not have to be of the target gate types. + * The input and output pin groups of these gates will be used to guide datapath analysis. + * For each gate, the input and output pin groups to be considered for analysis must be specified. + * An empty pin group vector results in all pin groups of the gate being considered. + * Only pin groups larger than `min_group_size´ will be considered. + * + * @param[in] structures - A vector of gates, each of them with a vector of gate pin groups. + * @param[in] overwrite - Set `true` to overwrite the existing known word-level structures, `false` otherwise. Defaults to `false`. + * @returns The updated dataflow analysis configuration. + */ + Configuration& with_known_structures(const std::vector*>>>& structures, bool overwrite = false); + + /** + * Add all gates of a (typically large) gate type to the set of previously identified word-level structures. + * The gate types do not have to be part of the target gate types. + * The input and output pin groups of the gates of these types will be used to guide datapath analysis. + * Only pin groups larger than `min_group_size´ will be considered. + * + * @param[in] structures - A set of gate types. + * @param[in] overwrite - Set `true` to overwrite the existing known word-level structures, `false` otherwise. Defaults to `false`. + * @returns The updated dataflow analysis configuration. + */ + Configuration& with_known_structures(const std::unordered_set& structures, bool overwrite = false); + + /** + * Add all gates of a (typically large) gate type to the set of previously identified word-level structures. + * The gate types do not have to be part of the target gate types. + * The input and output pin groups of the gates of these types will be used to guide datapath analysis. + * For each gate type, the input and output pin groups to be considered for analysis must be specified. + * An empty pin group vector results in all pin groups of the gate type being considered. + * Only pin groups larger than `min_group_size´ will be considered. + * + * @param[in] structures - A map from gates to a vector of a subset of their pin groups. + * @param[in] overwrite - Set `true` to overwrite the existing known word-level structures, `false` otherwise. Defaults to `false`. + * @returns The updated dataflow analysis configuration. + */ + Configuration& with_known_structures(const std::unordered_map*>>& structures, bool overwrite = false); + + /** + * Add modules to the set of previously identified word-level groups. + * These groups must only contain gates of the target gate types specified for analysis and will otherwise be ignored. + * The groups will be used to guide dataflow analysis, but will remain unaltered in the process. * - * @param[in] groups - A vector of groups. + * @param[in] groups - A vector of modules. + * @param[in] overwrite - Set `true` to overwrite the existing previously identified word-level groups, `false` otherwise. Defaults to `false`. + * @returns The updated dataflow analysis configuration. + */ + Configuration& with_known_groups(const std::vector& groups, bool overwrite = false); + + /** + * Add vectors of gates to the set of previously identified word-level groups. + * These groups must only contain gates of the target gate types specified for analysis and will otherwise be ignored. + * The groups will be used to guide dataflow analysis, but will remain unaltered in the process. + * + * @param[in] groups - A vector of groups, each of them as a vector of gates. + * @param[in] overwrite - Set `true` to overwrite existing set of identified word-level structures, `false` otherwise. Defaults to `false`. + * @returns The updated dataflow analysis configuration. + */ + Configuration& with_known_groups(const std::vector>& groups, bool overwrite = false); + + /** + * Add vectors of gate IDs to the set of previously identified word-level groups. + * These groups must only contain gates of the target gate types specified for analysis and will otherwise be ignored. + * The groups will be used to guide dataflow analysis, but will remain unaltered in the process. + * + * @param[in] groups - A vector of groups, each of them given as a vector of gate IDs. + * @param[in] overwrite - Set `true` to overwrite existing set of identified word-level structures, `false` otherwise. Defaults to `false`. + * @returns The updated dataflow analysis configuration. + */ + Configuration& with_known_groups(const std::vector>& groups, bool overwrite = false); + + /** + * Add groups from a previous dataflow analysis run to the set of previously identified word-level groups. + * These groups must only contain gates of the target gate types specified for analysis and will otherwise be ignored. + * The groups will be used to guide dataflow analysis, but will remain unaltered in the process. + * The group IDs will be ignored during analysis and the same group may be assigned a new ID. + * + * @param[in] groups - A map from group IDs to groups, each of them given as a set of gates. + * @param[in] overwrite - Set `true` to overwrite existing set of identified word-level structures, `false` otherwise. Defaults to `false`. * @returns The updated dataflow analysis configuration. */ - Configuration& with_known_groups(const std::vector& groups); + Configuration& with_known_groups(const std::unordered_map>& groups, bool overwrite = false); /** - * Set already identified groups of sequential gates as a vector of groups with each group being a grouping. + * Add the gate types to the set of gate types to be grouped by dataflow analysis. + * Overwrite the existing set of gate types by setting the optional `overwrite` flag to `true`. * - * @param[in] groups - A vector of groups. + * @param[in] types - A set of gate types. + * @param[in] overwrite - Set `true` to overwrite existing set of gate types, `false` otherwise. Defaults to `false`. * @returns The updated dataflow analysis configuration. */ - Configuration& with_known_groups(const std::vector& groups); + Configuration& with_gate_types(const std::set& types, bool overwrite = false); /** - * Set already identified groups of sequential gates as a vector of groups with each group being a vector of gates. + * Add the gate types featuring the specified properties to the set of gate types to be grouped by dataflow analysis. + * Overwrite the existing set of gate types by setting the optional `overwrite` flag to `true`. * - * @param[in] groups - A vector of groups. + * @param[in] type_properties - A set of gate type properties. + * @param[in] overwrite - Set `true` to overwrite existing set of gate types, `false` otherwise. Defaults to `false`. * @returns The updated dataflow analysis configuration. */ - Configuration& with_known_groups(const std::vector>& groups); + Configuration& with_gate_types(const std::set& type_properties, bool overwrite = false); /** - * Set already identified groups of sequential gates as a vector of groups with each group being a vector of gate IDs. + * Set the pin types of the pins to be considered control pins by dataflow analysis. + * Overwrite the existing set of pin types by setting the optional `overwrite` flag to `true`. * - * @param[in] groups - A vector of group. + * @param[in] types - A set of pin types. + * @param[in] overwrite - Set `true` to overwrite existing set of pin types, `false` otherwise. Defaults to `false`. * @returns The updated dataflow analysis configuration. */ - Configuration& with_known_groups(const std::vector>& groups); + Configuration& with_control_pin_types(const std::set& types, bool overwrite = false); /** - * Enable register stage identification as part of dataflow analysis. + * Enable stage identification as part of dataflow analysis. * - * @param[in] enable - Set `true` to enable register stage identification, `false` otherwise. Defaults to `true`. + * @param[in] enable - Set `true` to enable stage identification, `false` otherwise. Defaults to `true`. * @returns The updated dataflow analysis configuration. */ - Configuration& with_register_stage_identification(bool enable = true); + Configuration& with_stage_identification(bool enable = true); /** * Enable type consistency as part of dataflow analysis when deciding whether two gates are allowed to merge into the same group. diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/api/dataflow.h b/plugins/dataflow_analysis/include/dataflow_analysis/api/dataflow.h index 72f267a1303..7980f0970b9 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/api/dataflow.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/api/dataflow.h @@ -39,12 +39,11 @@ namespace hal namespace dataflow { /** - * Analyze the datapath to identify word-level registers in the given netlist. + * Analyze the datapath to identify word-level registers in the netlist specified in the configuration. * - * @param[in] nl - The netlist. * @param[in] config - The dataflow analysis configuration. * @returns Ok() and the dataflow analysis result on success, an error otherwise. */ - hal::Result analyze(Netlist* nl, const Configuration& config = Configuration()); + hal::Result analyze(const Configuration& config); } // namespace dataflow } // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/api/result.h b/plugins/dataflow_analysis/include/dataflow_analysis/api/result.h index 35cd0f6c9fa..93ed58320fd 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/api/result.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/api/result.h @@ -26,6 +26,8 @@ #pragma once #include "dataflow_analysis/common/grouping.h" +#include "hal_core/netlist/gate_library/enums/gate_type_property.h" +#include "hal_core/netlist/gate_library/enums/pin_direction.h" #include "hal_core/netlist/gate_library/enums/pin_type.h" #include "hal_core/utilities/result.h" @@ -34,6 +36,7 @@ namespace hal class Gate; class Net; class Module; + class GateType; namespace dataflow { @@ -152,10 +155,26 @@ namespace hal /** * Create modules for the dataflow analysis result. * + * @param[in] module_suffixes - The suffixes to use for modules containing only gates of a specific gate type. Defaults to `"module"` for mixed and unspecified gate types. + * @param[in] pin_prefixes - The prefixes to use for the module pins that (within the module) only connect to gate pins of a specific name. Defaults to the gate pin name. * @param[in] group_ids - The group IDs to consider. If no IDs are provided, all groups will be considered. Defaults to an empty set. * @returns Ok() and a map from group IDs to Modules on success, an error otherwise. */ - hal::Result> create_modules(const std::unordered_set& group_ids = {}) const; + hal::Result> create_modules(const std::map& module_suffixes = {}, + const std::map, std::string>& pin_prefixes = {}, + const std::unordered_set& group_ids = {}) const; + + /** + * Create modules for the dataflow analysis result. + * + * @param[in] module_suffixes - The suffixes to use for modules containing only gates of a specific gate type. All gate types featuring the specified gate type property are considered, but the module must still be pure (i.e., all gates must be of the same type) for the suffix to be used. Defaults to `"module"` for mixed and unspecified gate types. + * @param[in] pin_prefixes - The prefixes to use for the module pins that (within the module) only connect to gate pins of a specific name. Defaults to the gate pin name. + * @param[in] group_ids - The group IDs to consider. If no IDs are provided, all groups will be considered. Defaults to an empty set. + * @returns Ok() and a map from group IDs to Modules on success, an error otherwise. + */ + hal::Result> create_modules(const std::map& module_suffixes, + const std::map, std::string>& pin_prefixes = {}, + const std::unordered_set& group_ids = {}) const; /** * Get the groups of the dataflow analysis result as a list. diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/common/grouping.h b/plugins/dataflow_analysis/include/dataflow_analysis/common/grouping.h index 97deead3e00..229b6dd488d 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/common/grouping.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/common/grouping.h @@ -42,7 +42,7 @@ namespace hal struct Grouping { Grouping(const NetlistAbstraction& na); - Grouping(const NetlistAbstraction& na, const std::vector>& groups); + Grouping(const NetlistAbstraction& na, const std::vector>& groups); Grouping(const Grouping& other); const NetlistAbstraction& netlist_abstr; @@ -56,14 +56,14 @@ namespace hal bool operator==(const Grouping& other) const; bool operator!=(const Grouping& other) const; - std::unordered_set get_clock_signals_of_group(u32 group_id) const; - std::unordered_set get_control_signals_of_group(u32 group_id) const; - std::unordered_set get_reset_signals_of_group(u32 group_id) const; - std::unordered_set get_set_signals_of_group(u32 group_id) const; + std::map> get_control_signals_of_group(u32 group_id) const; std::unordered_set get_successor_groups_of_group(u32 group_id) const; std::unordered_set get_predecessor_groups_of_group(u32 group_id) const; + std::unordered_set get_known_successor_groups_of_group(u32 group_id) const; + std::unordered_set get_known_predecessor_groups_of_group(u32 group_id) const; + std::set get_register_stage_intersect_of_group(u32 group_id) const; bool are_groups_allowed_to_merge(u32 group_1_id, u32 group_2_id, bool enforce_type_consistency) const; @@ -76,6 +76,8 @@ namespace hal std::shared_mutex mutex; std::unordered_map> suc_cache; std::unordered_map> pred_cache; + std::unordered_map> suc_known_group_cache; + std::unordered_map> pred_known_group_cache; std::set> comparison_cache; } cache; diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/common/netlist_abstraction.h b/plugins/dataflow_analysis/include/dataflow_analysis/common/netlist_abstraction.h index 42fc8932e63..da5ee66aba9 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/common/netlist_abstraction.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/common/netlist_abstraction.h @@ -26,6 +26,7 @@ #pragma once #include "hal_core/defines.h" +#include "hal_core/netlist/gate_library/enums/pin_type.h" #include #include @@ -52,18 +53,17 @@ namespace hal // utils bool yosys; - // all ffs - std::vector all_sequential_gates; + // all target gates to group + std::vector target_gates; /* pre_processed_data */ std::unordered_map> gate_to_fingerprint; - std::unordered_map> gate_to_clock_signals; - std::unordered_map> gate_to_enable_signals; - std::unordered_map> gate_to_reset_signals; - std::unordered_map> gate_to_set_signals; + std::unordered_map>> gate_to_control_signals; std::unordered_map> gate_to_register_stages; std::unordered_map> gate_to_predecessors; std::unordered_map> gate_to_successors; + std::unordered_map> gate_to_known_predecessor_groups; + std::unordered_map> gate_to_known_successor_groups; std::unordered_map>> gate_to_output_shape; std::unordered_map>> gate_to_input_shape; }; diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/plugin_dataflow.h b/plugins/dataflow_analysis/include/dataflow_analysis/plugin_dataflow.h index f7e2d8ed284..06ab5dfbc4e 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/plugin_dataflow.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/plugin_dataflow.h @@ -52,17 +52,18 @@ namespace hal class GuiExtensionDataflow : public GuiExtensionInterface { - dataflow::Configuration m_config; - std::string m_output_path; - bool m_write_dot; - bool m_write_txt; - bool m_create_modules; - bool m_button_clicked; + std::string m_output_path = "/tmp"; + bool m_write_dot = false; + bool m_write_txt = false; + bool m_create_modules = false; + bool m_button_clicked = false; + + std::vector m_expected_sizes = {}; + u32 m_min_group_size = 8; + bool m_enable_stages = false; public: - GuiExtensionDataflow() : m_output_path("/tmp"), m_write_dot(false), m_write_txt(false), m_create_modules(false), m_button_clicked(false) - { - } + GuiExtensionDataflow() = default; /** * Get list of configurable parameter diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/pre_processing/pre_processing.h b/plugins/dataflow_analysis/include/dataflow_analysis/pre_processing/pre_processing.h index d07dc7d7d64..d481294833e 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/pre_processing/pre_processing.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/pre_processing/pre_processing.h @@ -25,6 +25,7 @@ #pragma once +#include "dataflow_analysis/api/configuration.h" #include "dataflow_analysis/common/netlist_abstraction.h" namespace hal @@ -35,7 +36,7 @@ namespace hal { namespace pre_processing { - NetlistAbstraction run(Netlist* netlist, bool register_stage_identification); + NetlistAbstraction run(const dataflow::Configuration& config, std::shared_ptr& initial_grouping); } // namespace pre_processing } // namespace dataflow } // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/processing/configuration.h b/plugins/dataflow_analysis/include/dataflow_analysis/processing/configuration.h index c5a013520f5..620223967ce 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/processing/configuration.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/processing/configuration.h @@ -39,6 +39,7 @@ namespace hal u32 num_threads; bool enforce_type_consistency; + bool has_known_groups = false; }; } // namespace processing diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/processing/pass_collection.h b/plugins/dataflow_analysis/include/dataflow_analysis/processing/pass_collection.h index cd15934e7e5..46601ce72a6 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/processing/pass_collection.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/processing/pass_collection.h @@ -54,8 +54,9 @@ namespace hal namespace pass_collection { std::vector get_passes(const Configuration& config, const std::vector>& previous_passes); + void clear(); } // namespace pass_collection - } // namespace processing - } // namespace dataflow + } // namespace processing + } // namespace dataflow } // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/processing/passes/group_by_control_signals.h b/plugins/dataflow_analysis/include/dataflow_analysis/processing/passes/group_by_control_signals.h index d1856980102..5e29b1c43bf 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/processing/passes/group_by_control_signals.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/processing/passes/group_by_control_signals.h @@ -41,10 +41,7 @@ namespace hal namespace group_by_control_signals { - std::shared_ptr process(const processing::Configuration& config, const std::shared_ptr& state, bool clock, bool clock_enable, bool reset, bool set); - std::shared_ptr - pure_control_signals_process(const processing::Configuration& config, const std::shared_ptr& state, bool clock, bool clock_enable, bool reset, bool set); - + std::shared_ptr process(const processing::Configuration& config, const std::shared_ptr& state); } // namespace group_by_control_signals } // namespace dataflow } // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/processing/passes/group_by_successor_predecessor_known_groups.h b/plugins/dataflow_analysis/include/dataflow_analysis/processing/passes/group_by_successor_predecessor_known_groups.h new file mode 100644 index 00000000000..c468d02cc50 --- /dev/null +++ b/plugins/dataflow_analysis/include/dataflow_analysis/processing/passes/group_by_successor_predecessor_known_groups.h @@ -0,0 +1,48 @@ +// MIT License +// +// Copyright (c) 2019 Ruhr University Bochum, Chair for Embedded Security. All Rights reserved. +// Copyright (c) 2019 Marc Fyrbiak, Sebastian Wallat, Max Hoffmann ("ORIGINAL AUTHORS"). All rights reserved. +// Copyright (c) 2021 Max Planck Institute for Security and Privacy. All Rights reserved. +// Copyright (c) 2021 Jörn Langheinrich, Julian Speith, Nils Albartus, René Walendy, Simon Klix ("ORIGINAL AUTHORS"). All Rights reserved. +// +// Permission is hereby granted, free of charge, to any person obtaining a copy +// of this software and associated documentation files (the "Software"), to deal +// in the Software without restriction, including without limitation the rights +// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +// copies of the Software, and to permit persons to whom the Software is +// furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all +// copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +// SOFTWARE. + +#pragma once + +#include "hal_core/defines.h" + +namespace hal +{ + namespace dataflow + { + /* forward declaration */ + struct Grouping; + + namespace processing + { + struct Configuration; + } + + namespace group_by_successor_predecessor_known_groups + { + std::shared_ptr process(const processing::Configuration& config, const std::shared_ptr& state, bool successors); + + } // namespace group_by_successor_predecessor_known_groups + } // namespace dataflow +} // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/processing/passes/split_by_successor_predecessor_known_groups.h b/plugins/dataflow_analysis/include/dataflow_analysis/processing/passes/split_by_successor_predecessor_known_groups.h new file mode 100644 index 00000000000..7b471d686b9 --- /dev/null +++ b/plugins/dataflow_analysis/include/dataflow_analysis/processing/passes/split_by_successor_predecessor_known_groups.h @@ -0,0 +1,48 @@ +// MIT License +// +// Copyright (c) 2019 Ruhr University Bochum, Chair for Embedded Security. All Rights reserved. +// Copyright (c) 2019 Marc Fyrbiak, Sebastian Wallat, Max Hoffmann ("ORIGINAL AUTHORS"). All rights reserved. +// Copyright (c) 2021 Max Planck Institute for Security and Privacy. All Rights reserved. +// Copyright (c) 2021 Jörn Langheinrich, Julian Speith, Nils Albartus, René Walendy, Simon Klix ("ORIGINAL AUTHORS"). All Rights reserved. +// +// Permission is hereby granted, free of charge, to any person obtaining a copy +// of this software and associated documentation files (the "Software"), to deal +// in the Software without restriction, including without limitation the rights +// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +// copies of the Software, and to permit persons to whom the Software is +// furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all +// copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +// SOFTWARE. + +#pragma once + +#include "hal_core/defines.h" + +namespace hal +{ + namespace dataflow + { + /* forward declaration */ + struct Grouping; + + namespace processing + { + struct Configuration; + } + + namespace split_by_successor_predecessor_known_groups + { + std::shared_ptr process(const processing::Configuration& config, const std::shared_ptr& state, bool successors); + + } // namespace split_by_successor_predecessor_known_groups + } // namespace dataflow +} // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/include/dataflow_analysis/processing/processing.h b/plugins/dataflow_analysis/include/dataflow_analysis/processing/processing.h index 4858c674c13..8b87d6addb7 100644 --- a/plugins/dataflow_analysis/include/dataflow_analysis/processing/processing.h +++ b/plugins/dataflow_analysis/include/dataflow_analysis/processing/processing.h @@ -1,20 +1,20 @@ // MIT License -// +// // Copyright (c) 2019 Ruhr University Bochum, Chair for Embedded Security. All Rights reserved. // Copyright (c) 2019 Marc Fyrbiak, Sebastian Wallat, Max Hoffmann ("ORIGINAL AUTHORS"). All rights reserved. // Copyright (c) 2021 Max Planck Institute for Security and Privacy. All Rights reserved. // Copyright (c) 2021 Jörn Langheinrich, Julian Speith, Nils Albartus, René Walendy, Simon Klix ("ORIGINAL AUTHORS"). All Rights reserved. -// +// // Permission is hereby granted, free of charge, to any person obtaining a copy // of this software and associated documentation files (the "Software"), to deal // in the Software without restriction, including without limitation the rights // to use, copy, modify, merge, publish, distribute, sublicense, and/or sell // copies of the Software, and to permit persons to whom the Software is // furnished to do so, subject to the following conditions: -// +// // The above copyright notice and this permission notice shall be included in all // copies or substantial portions of the Software. -// +// // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR // IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, // FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE @@ -37,6 +37,7 @@ namespace hal namespace processing { processing::Result run(const processing::Configuration& config, const std::shared_ptr& initial_grouping); + void clear(); } // namespace processing - } // namespace dataflow + } // namespace dataflow } // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/python/python_bindings.cpp b/plugins/dataflow_analysis/python/python_bindings.cpp index d24139945cb..d33cb3cdf22 100644 --- a/plugins/dataflow_analysis/python/python_bindings.cpp +++ b/plugins/dataflow_analysis/python/python_bindings.cpp @@ -27,7 +27,18 @@ namespace hal .def("get_name", &plugin_dataflow::get_name, R"( Get the name of the plugin. - :returns: Plugin name. + :returns: The name of the plugin. + :rtype: str + )") + .def_property_readonly("description", &plugin_dataflow::get_description, R"( + The description of the plugin. + + :type: str + )") + .def("get_description", &plugin_dataflow::get_description, R"( + Get the description of the plugin. + + :returns: The description of the plugin. :rtype: str )") .def_property_readonly("version", &plugin_dataflow::get_version, R"( @@ -38,7 +49,7 @@ namespace hal .def("get_version", &plugin_dataflow::get_version, R"( Get the version of the plugin. - :returns: Plugin version. + :returns: The version of the plugin. :rtype: str )") .def("execute", @@ -71,8 +82,10 @@ namespace hal Holds the configuration of a dataflow analysis run. )"); - py_dataflow_configuration.def(py::init<>(), R"( - Constructs a new dataflow analysis configuration. + py_dataflow_configuration.def(py::init(), py::arg("nl"), R"( + Constructs a new dataflow analysis configuration for the given netlist. + + :param hal_py.Netlist nl: The netlist. )"); py_dataflow_configuration.def_readwrite("min_group_size", &dataflow::Configuration::min_group_size, R"( @@ -87,18 +100,42 @@ namespace hal :type: list[int] )"); - py_dataflow_configuration.def_readwrite("known_groups", &dataflow::Configuration::known_groups, R"( - Already identified groups of sequential gates as a list of groups with each group being a list of gate IDs. Defaults to an empty list. + py_dataflow_configuration.def_readwrite("known_gate_groups", &dataflow::Configuration::known_gate_groups, R"( + Groups of gates that have already been identified as word-level groups beforehand. All gates of a group must be of one of the target gate types. Defaults to an empty list. - :type: list[list[int]] + :type: list[list[hal_py.Gate]] )"); - py_dataflow_configuration.def_readwrite("enable_register_stages", &dataflow::Configuration::enable_register_stages, R"( + py_dataflow_configuration.def_readwrite("known_net_groups", &dataflow::Configuration::known_net_groups, R"( + Groups of nets that have been identified as word-level datapathes beforehand. Defaults to an empty list. + + :type: list[list[hal_py.Net]] + )"); + + py_dataflow_configuration.def_readwrite("gate_types", &dataflow::Configuration::gate_types, R"( + The gate types to be grouped by dataflow analysis. Defaults to an empty set. + + :type: set[hal_py.GateType] + )"); + + py_dataflow_configuration.def_readwrite("control_pin_types", &dataflow::Configuration::control_pin_types, R"( + The pin types of the pins to be considered control pins. Defaults to an empty set. + + :type: set[hal_py.PinType] + )"); + + py_dataflow_configuration.def_readwrite("enable_stages", &dataflow::Configuration::enable_stages, R"( Enable register stage identification as part of dataflow analysis. Defaults to ``False``. :type: bool )"); + py_dataflow_configuration.def_readwrite("enforce_type_consistency", &dataflow::Configuration::enforce_type_consistency, R"( + Enforce gate type consistency inside of a group. Defaults to ``False``. + + :type: bool + )"); + py_dataflow_configuration.def("with_min_group_size", &dataflow::Configuration::with_min_group_size, py::arg("size"), R"( Set the minimum size of a group. Smaller groups will be penalized during analysis. @@ -115,39 +152,190 @@ namespace hal :rtype: dataflow.Dataflow.Configuration )"); - py_dataflow_configuration.def("with_known_groups", py::overload_cast&>(&dataflow::Configuration::with_known_groups), py::arg("groups"), R"( - Set already identified groups of sequential gates as a list of groups with each group being a module. + py_dataflow_configuration.def( + "with_known_structures", py::overload_cast&, bool>(&dataflow::Configuration::with_known_structures), py::arg("structures"), py::arg("overwrite") = false, R"( + Add modules to the set of previously identified word-level structures. + The gates contained in the modules do not have to be of the target gate types. + The input and output pin groups of these modules will be used to guide datapath analysis. + Only pin groups larger than ``min_group_size`` will be considered. + + :param list[hal_py.Module] structures: A list of modules. + :param bool overwrite: Set ``True`` to overwrite the existing known word-level structures, ``False`` otherwise. Defaults to ``False``. + :returns: The updated dataflow analysis configuration. + :rtype: dataflow.Dataflow.Configuration + )"); + + py_dataflow_configuration.def("with_known_structures", + py::overload_cast*>>>&, bool>(&dataflow::Configuration::with_known_structures), + py::arg("structures"), + py::arg("overwrite") = false, + R"( + Add modules to the set of previously identified word-level structures. + The gates contained in the modules do not have to be of the target gate types. + The input and output pin groups of these modules will be used to guide datapath analysis. + For each module, the input and output pin groups to be considered for analysis must be specified. + An empty pin group vector results in all pin groups of the module being considered. + Only pin groups larger than ``min_group_size`` will be considered. + + :param list[tuple(hal_py.Module,list[hal_py.ModulePinGroup])] structures: A list of modules, each of them with a list of module pin groups. + :param bool overwrite: Set ``True`` to overwrite the existing known word-level structures, ``False`` otherwise. Defaults to ``False``. + :returns: The updated dataflow analysis configuration. + :rtype: dataflow.Dataflow.Configuration + )"); + + py_dataflow_configuration.def( + "with_known_structures", py::overload_cast&, bool>(&dataflow::Configuration::with_known_structures), py::arg("structures"), py::arg("overwrite") = false, R"( + Add (typically large) gates to the set of previously identified word-level structures. + The gates do not have to be of the target gate types. + The input and output pin groups of these gates will be used to guide datapath analysis. + Only pin groups larger than ``min_group_size`` will be considered. + + :param list[hal_py.Gate] structures: A list of gates. + :param bool overwrite: Set ``True`` to overwrite the existing known word-level structures, ``False`` otherwise. Defaults to ``False``. + :returns: The updated dataflow analysis configuration. + :rtype: dataflow.Dataflow.Configuration + )"); + + py_dataflow_configuration.def("with_known_structures", + py::overload_cast*>>>&, bool>(&dataflow::Configuration::with_known_structures), + py::arg("structures"), + py::arg("overwrite") = false, + R"( + Add (typically large) gates to the set of previously identified word-level structures. + The gates do not have to be of the target gate types. + The input and output pin groups of these gates will be used to guide datapath analysis. + For each gate, the input and output pin groups to be considered for analysis must be specified. + An empty pin group vector results in all pin groups of the gate being considered. + Only pin groups larger than ``min_group_size`` will be considered. + + :param list[tuple(hal_py.Gate,list[hal_py.GatePinGroup])] structures: A list of gates, each of them with a list of gate pin groups. + :param bool overwrite: Set ``True`` to overwrite the existing known word-level structures, ``False`` otherwise. Defaults to ``False``. + :returns: The updated dataflow analysis configuration. + :rtype: dataflow.Dataflow.Configuration + )"); + + py_dataflow_configuration.def("with_known_structures", + py::overload_cast&, bool>(&dataflow::Configuration::with_known_structures), + py::arg("structures"), + py::arg("overwrite") = false, + R"( + Add all gates of a (typically large) gate type to the set of previously identified word-level structures. + The gate types do not have to be part of the target gate types. + The input and output pin groups of the gates of these types will be used to guide datapath analysis. + Only pin groups larger than ``min_group_size`` will be considered. + + :param set[hal_py.GateType] structures: A set of gates. + :param bool overwrite: Set ``True`` to overwrite the existing known word-level structures, ``False`` otherwise. Defaults to ``False``. + :returns: The updated dataflow analysis configuration. + :rtype: dataflow.Dataflow.Configuration + )"); + + py_dataflow_configuration.def("with_known_structures", + py::overload_cast*>>&, bool>(&dataflow::Configuration::with_known_structures), + py::arg("structures"), + py::arg("overwrite") = false, + R"( + Add all gates of a (typically large) gate type to the set of previously identified word-level structures. + The gate types do not have to be part of the target gate types. + The input and output pin groups of the gates of these types will be used to guide datapath analysis. + For each gate type, the input and output pin groups to be considered for analysis must be specified. + An empty pin group vector results in all pin groups of the gate type being considered. + Only pin groups larger than ``min_group_size`` will be considered. + + :param dict[hal_py.GateType,list[hal_py.GatePinGroup]] structures: A dict from gates to a vector of a subset of their pin groups. + :param bool overwrite: Set ``True`` to overwrite the existing known word-level structures, ``False`` otherwise. Defaults to ``False``. + :returns: The updated dataflow analysis configuration. + :rtype: dataflow.Dataflow.Configuration + )"); + + py_dataflow_configuration.def( + "with_known_groups", py::overload_cast&, bool>(&dataflow::Configuration::with_known_groups), py::arg("groups"), py::arg("overwrite") = false, R"( + Add modules to the set of previously identified word-level groups. + These groups must only contain gates of the target gate types specified for analysis and will otherwise be ignored. + The groups will be used to guide dataflow analysis, but will remain unaltered in the process. - :param list[hal_py.Module] groups: A list of groups. + :param list[hal_py.Module] groups: A list of modules. + :param bool overwrite: Set ``True`` to overwrite the existing previously identified word-level groups, ``False`` otherwise. Defaults to ``False``. :returns: The updated dataflow analysis configuration. :rtype: dataflow.Dataflow.Configuration )"); - py_dataflow_configuration.def("with_known_groups", py::overload_cast&>(&dataflow::Configuration::with_known_groups), py::arg("groups"), R"( - Set already identified groups of sequential gates as a vector of groups with each group being a grouping. + py_dataflow_configuration.def("with_known_groups", + py::overload_cast>&, bool>(&dataflow::Configuration::with_known_groups), + py::arg("groups"), + py::arg("overwrite") = false, + R"( + Add lists of gates to the set of previously identified word-level groups. + These groups must only contain gates of the target gate types specified for analysis and will otherwise be ignored. + The groups will be used to guide dataflow analysis, but will remain unaltered in the process. + + :param list[list[hal_py.Gate]] groups: A list of groups, each of them given as a list of gates. + :param bool overwrite: Set ``True`` to overwrite the existing previously identified word-level groups, ``False`` otherwise. Defaults to ``False``. + :returns: The updated dataflow analysis configuration. + :rtype: dataflow.Dataflow.Configuration + )"); + + py_dataflow_configuration.def( + "with_known_groups", py::overload_cast>&, bool>(&dataflow::Configuration::with_known_groups), py::arg("groups"), py::arg("overwrite") = false, R"( + Add lists of gate IDs to the set of previously identified word-level groups. + These groups must only contain gates of the target gate types specified for analysis and will otherwise be ignored. + The groups will be used to guide dataflow analysis, but will remain unaltered in the process. - :param list[hal_py.Grouping] groups: A list of groups. + :param list[list[int]] groups: A list of groups, each of them given as a list of gate IDs. + :param bool overwrite: Set ``True`` to overwrite the existing previously identified word-level groups, ``False`` otherwise. Defaults to ``False``. :returns: The updated dataflow analysis configuration. :rtype: dataflow.Dataflow.Configuration )"); - py_dataflow_configuration.def("with_known_groups", py::overload_cast>&>(&dataflow::Configuration::with_known_groups), py::arg("groups"), R"( - Set already identified groups of sequential gates as a list of groups with each group being a list of gates. + py_dataflow_configuration.def("with_known_groups", + py::overload_cast>&, bool>(&dataflow::Configuration::with_known_groups), + py::arg("groups"), + py::arg("overwrite") = false, + R"( + Add groups from a previous dataflow analysis run to the set of previously identified word-level groups. + These groups must only contain gates of the target gate types specified for analysis and will otherwise be ignored. + The groups will be used to guide dataflow analysis, but will remain unaltered in the process. + The group IDs will be ignored during analysis and the same group may be assigned a new ID. + + :param dict[int,set[hal_py.Gate]] groups: A dict from group IDs to groups, each of them given as a set of gates. + :param bool overwrite: Set ``True`` to overwrite the existing previously identified word-level groups, ``False`` otherwise. Defaults to ``False``. + :returns: The updated dataflow analysis configuration. + :rtype: dataflow.Dataflow.Configuration + )"); + + py_dataflow_configuration.def( + "with_gate_types", py::overload_cast&, bool>(&dataflow::Configuration::with_gate_types), py::arg("types"), py::arg("overwrite") = false, R"( + Add the gate types to the set of gate types to be grouped by dataflow analysis. + Overwrite the existing set of gate types by setting the optional ``overwrite`` flag to ``True``. + + :param set[hal_py.GateType] types: A set of gate types. + :param bool overwrite: Set ``True`` to overwrite existing set of gate types, ``False`` otherwise. Defaults to ``False``. + :returns: The updated dataflow analysis configuration. + :rtype: dataflow.Dataflow.Configuration + )"); + + py_dataflow_configuration.def( + "with_gate_types", py::overload_cast&, bool>(&dataflow::Configuration::with_gate_types), py::arg("type_properties"), py::arg("overwrite") = false, R"( + Add the gate types featuring the specified properties to the set of gate types to be grouped by dataflow analysis. + Overwrite the existing set of gate types by setting the optional ``overwrite`` flag to ``True``. - :param list[list[hal_py.Gate]] groups: A list of groups. + :param set[hal_py.GateTypeProperty] type_properties: A set of gate type properties. + :param bool overwrite: Set ``True`` to overwrite existing set of gate types, ``False`` otherwise. Defaults to ``False``. :returns: The updated dataflow analysis configuration. :rtype: dataflow.Dataflow.Configuration )"); - py_dataflow_configuration.def("with_known_groups", py::overload_cast>&>(&dataflow::Configuration::with_known_groups), py::arg("groups"), R"( - Set already identified groups of sequential gates as a list of groups with each group being a list of gate IDs. + py_dataflow_configuration.def("with_control_pin_types", &dataflow::Configuration::with_control_pin_types, py::arg("types"), py::arg("overwrite") = false, R"( + Set the pin types of the pins to be considered control pins by dataflow analysis. + Overwrite the existing set of pin types by setting the optional ``overwrite`` flag to ``True``. - :param list[list[int]] groups: A list of groups. + :param set[hal_py.PinType] types: A set of pin types. + :param bool enable: Set ``True`` to overwrite existing set of pin types, ``False`` otherwise. Defaults to ``False``. :returns: The updated dataflow analysis configuration. :rtype: dataflow.Dataflow.Configuration )"); - py_dataflow_configuration.def("with_register_stage_identification", &dataflow::Configuration::with_register_stage_identification, py::arg("enable") = true, R"( + py_dataflow_configuration.def("with_stage_identification", &dataflow::Configuration::with_stage_identification, py::arg("enable") = true, R"( Enable register stage identification as part of dataflow analysis. :param bool enable: Set ``True`` to enable register stage identification, ``False`` otherwise. Defaults to ``True``. @@ -165,8 +353,8 @@ namespace hal py_dataflow.def( "analyze", - [](Netlist* nl, const dataflow::Configuration& config = dataflow::Configuration()) -> std::optional { - auto res = dataflow::analyze(nl, config); + [](const dataflow::Configuration& config) -> std::optional { + auto res = dataflow::analyze(config); if (res.is_ok()) { return res.get(); @@ -177,12 +365,10 @@ namespace hal return std::nullopt; } }, - py::arg("nl"), - py::arg("config") = dataflow::Configuration(), + py::arg("config"), R"( - Analyze the datapath to identify word-level registers in the given netlist. + Analyze the datapath to identify word-level registers in the netlist specified in the configuration. - :param hal_py.Netlist nl: The netlist. :param dataflow.Dataflow.Configuration config: The dataflow analysis configuration. :returns: The dataflow analysis result on success, ``None`` otherwise. :rtype: dataflow.Dataflow.Result or None @@ -453,8 +639,11 @@ namespace hal py_dataflow_result.def( "create_modules", - [](const dataflow::Result& self, const std::unordered_set& group_ids = {}) -> std::optional> { - auto res = self.create_modules(group_ids); + [](const dataflow::Result& self, + const std::map& module_suffixes = {}, + const std::map, std::string>& pin_prefixes = {}, + const std::unordered_set& group_ids = {}) -> std::optional> { + auto res = self.create_modules(module_suffixes, pin_prefixes, group_ids); if (res.is_ok()) { return res.get(); @@ -465,10 +654,46 @@ namespace hal return std::nullopt; } }, - py::arg("group_ids") = std::unordered_set(), + py::arg("module_suffixes") = std::map(), + py::arg("pin_prefixes") = std::map, std::string>(), + py::arg("group_ids") = std::unordered_set(), + + R"( + Create modules for the dataflow analysis result. + + :param dict[hal_py.GateType,str] module_suffixes: The suffixes to use for modules containing only gates of a specific gate type. Defaults to ``"module"`` for mixed and unspecified gate types. + :param dict[tuple(hal_py.PinDirection,str),str] pin_prefixes: The prefixes to use for the module pins that (within the module) only connect to gate pins of a specific name. Defaults to the gate pin name. + :param set[int] group_ids: The group IDs to consider. If no IDs are provided, all groups will be considered. Defaults to an empty set. + :returns: A map from group IDs to Modules on success, ``None`` otherwise. + :rtype: dict[int,hal_py.Module] or None + )"); + + py_dataflow_result.def( + "create_modules", + [](const dataflow::Result& self, + const std::map& module_suffixes, + const std::map, std::string>& pin_prefixes = {}, + const std::unordered_set& group_ids = {}) -> std::optional> { + auto res = self.create_modules(module_suffixes, pin_prefixes, group_ids); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "error encountered while creating modules:\n{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("module_suffixes"), + py::arg("pin_prefixes") = std::map, std::string>(), + py::arg("group_ids") = std::unordered_set(), + R"( Create modules for the dataflow analysis result. + :param dict[hal_py.GateTypeProperty,str] module_suffixes: The suffixes to use for modules containing only gates of a specific gate type. All gate types featuring the specified gate type property are considered, but the module must still be pure (i.e., all gates must be of the same type) for the suffix to be used. Defaults to ``"module"`` for mixed and unspecified gate types. + :param dict[tuple(hal_py.PinDirection,str),str] pin_prefixes: The prefixes to use for the module pins that (within the module) only connect to gate pins of a specific name. Defaults to the gate pin name. :param set[int] group_ids: The group IDs to consider. If no IDs are provided, all groups will be considered. Defaults to an empty set. :returns: A map from group IDs to Modules on success, ``None`` otherwise. :rtype: dict[int,hal_py.Module] or None diff --git a/plugins/dataflow_analysis/src/api/configuration.cpp b/plugins/dataflow_analysis/src/api/configuration.cpp index ce2848007c9..098db524772 100644 --- a/plugins/dataflow_analysis/src/api/configuration.cpp +++ b/plugins/dataflow_analysis/src/api/configuration.cpp @@ -1,13 +1,19 @@ #include "dataflow_analysis/api/configuration.h" #include "hal_core/netlist/gate.h" +#include "hal_core/netlist/gate_library/gate_library.h" #include "hal_core/netlist/grouping.h" #include "hal_core/netlist/module.h" +#include "hal_core/netlist/netlist.h" namespace hal { namespace dataflow { + Configuration::Configuration(Netlist* nl) : netlist(nl) + { + } + Configuration& Configuration::with_min_group_size(u32 size) { this->min_group_size = size; @@ -20,50 +26,284 @@ namespace hal return *this; } - Configuration& Configuration::with_known_groups(const std::vector& groups) + Configuration& Configuration::with_known_structures(const std::vector& structures, bool overwrite) + { + if (overwrite) + { + this->known_net_groups.clear(); + } + + for (const auto* mod : structures) + { + for (const auto* pin_group : mod->get_pin_groups()) + { + std::vector nets; + for (const auto* pin : pin_group->get_pins()) + { + nets.push_back(pin->get_net()); + } + this->known_net_groups.push_back(nets); + } + } + + return *this; + } + + Configuration& Configuration::with_known_structures(const std::vector*>>>& structures, bool overwrite) + { + if (overwrite) + { + this->known_net_groups.clear(); + } + + for (const auto& [_, pin_groups] : structures) + { + for (const auto* pin_group : pin_groups) + { + std::vector nets; + for (const auto* pin : pin_group->get_pins()) + { + nets.push_back(pin->get_net()); + } + this->known_net_groups.push_back(nets); + } + } + + return *this; + } + + Configuration& Configuration::with_known_structures(const std::vector& structures, bool overwrite) + { + if (overwrite) + { + this->known_net_groups.clear(); + } + + for (const auto* gate : structures) + { + for (const auto* pin_group : gate->get_type()->get_pin_groups()) + { + std::vector nets; + for (const auto* pin : pin_group->get_pins()) + { + if (pin->get_direction() == PinDirection::input) + { + nets.push_back(gate->get_fan_in_net(pin)); + } + else if (pin->get_direction() == PinDirection::output) + { + nets.push_back(gate->get_fan_out_net(pin)); + } + } + this->known_net_groups.push_back(nets); + } + } + + return *this; + } + + Configuration& Configuration::with_known_structures(const std::vector*>>>& structures, bool overwrite) { - for (const auto* mod : groups) + if (overwrite) { - std::vector group; - const auto& gates = mod->get_gates(); - std::transform(gates.cbegin(), gates.cend(), std::back_inserter(group), [](const Gate* g) { return g->get_id(); }); - this->known_groups.push_back(std::move(group)); + this->known_net_groups.clear(); } + + for (const auto& [gate, pin_groups] : structures) + { + for (const auto* pin_group : pin_groups) + { + std::vector nets; + for (const auto* pin : pin_group->get_pins()) + { + if (pin->get_direction() == PinDirection::input) + { + nets.push_back(gate->get_fan_in_net(pin)); + } + else if (pin->get_direction() == PinDirection::output) + { + nets.push_back(gate->get_fan_out_net(pin)); + } + } + this->known_net_groups.push_back(nets); + } + } + return *this; } - Configuration& Configuration::with_known_groups(const std::vector& groups) + Configuration& Configuration::with_known_structures(const std::unordered_set& structures, bool overwrite) { - for (const auto* grp : groups) + if (overwrite) + { + this->known_net_groups.clear(); + } + + for (const auto* gate : this->netlist->get_gates([structures](const Gate* g) { return structures.find(g->get_type()) != structures.end(); })) { - std::vector group; - const auto& gates = grp->get_gates(); - std::transform(gates.cbegin(), gates.cend(), std::back_inserter(group), [](const Gate* g) { return g->get_id(); }); - this->known_groups.push_back(std::move(group)); + for (const auto* pin_group : gate->get_type()->get_pin_groups()) + { + std::vector nets; + for (const auto* pin : pin_group->get_pins()) + { + if (pin->get_direction() == PinDirection::input) + { + nets.push_back(gate->get_fan_in_net(pin)); + } + else if (pin->get_direction() == PinDirection::output) + { + nets.push_back(gate->get_fan_out_net(pin)); + } + } + this->known_net_groups.push_back(nets); + } } + return *this; } - Configuration& Configuration::with_known_groups(const std::vector>& groups) + Configuration& Configuration::with_known_structures(const std::unordered_map*>>& structures, bool overwrite) { - for (const auto& gates : groups) + if (overwrite) { - std::vector group; - std::transform(gates.cbegin(), gates.cend(), std::back_inserter(group), [](const Gate* g) { return g->get_id(); }); - this->known_groups.push_back(std::move(group)); + this->known_net_groups.clear(); } + + for (const auto* gate : this->netlist->get_gates([structures](const Gate* g) { return structures.find(g->get_type()) != structures.end(); })) + { + for (const auto* pin_group : structures.at(gate->get_type())) + { + std::vector nets; + for (const auto* pin : pin_group->get_pins()) + { + if (pin->get_direction() == PinDirection::input) + { + nets.push_back(gate->get_fan_in_net(pin)); + } + else if (pin->get_direction() == PinDirection::output) + { + nets.push_back(gate->get_fan_out_net(pin)); + } + } + this->known_net_groups.push_back(nets); + } + } + return *this; } - Configuration& Configuration::with_known_groups(const std::vector>& groups) + Configuration& Configuration::with_known_groups(const std::vector& groups, bool overwrite) { - this->known_groups = groups; + if (overwrite) + { + this->known_gate_groups.clear(); + } + + for (auto* mod : groups) + { + this->known_gate_groups.push_back(mod->get_gates()); + } + + return *this; + } + + Configuration& Configuration::with_known_groups(const std::vector>& groups, bool overwrite) + { + if (overwrite) + { + this->known_gate_groups = groups; + } + else + { + this->known_gate_groups.insert(this->known_gate_groups.end(), groups.begin(), groups.end()); + } + + return *this; + } + + Configuration& Configuration::with_known_groups(const std::vector>& groups, bool overwrite) + { + if (overwrite) + { + this->known_gate_groups.clear(); + } + + for (const auto& gate_ids : groups) + { + std::vector gates; + std::transform(gate_ids.cbegin(), gate_ids.cend(), std::back_inserter(gates), [this](u32 gid) { return this->netlist->get_gate_by_id(gid); }); + this->known_gate_groups.push_back(gates); + } + + return *this; + } + + Configuration& Configuration::with_known_groups(const std::unordered_map>& groups, bool overwrite) + { + if (overwrite) + { + this->known_gate_groups.clear(); + } + + for (const auto& [_, gates] : groups) + { + std::vector group(gates.cbegin(), gates.cend()); + this->known_gate_groups.push_back(group); + } + + return *this; + } + + Configuration& Configuration::with_gate_types(const std::set& types, bool overwrite) + { + if (overwrite) + { + this->gate_types = types; + } + else + { + this->gate_types.insert(types.begin(), types.end()); + } + + return *this; + } + + Configuration& Configuration::with_gate_types(const std::set& gate_type_properties, bool overwrite) + { + auto gate_types_map = this->netlist->get_gate_library()->get_gate_types([gate_type_properties](const GateType* gt) { + for (GateTypeProperty p : gate_type_properties) + { + if (gt->has_property(p)) + { + return true; + } + } + return false; + }); + std::set types; + std::transform(gate_types_map.begin(), gate_types_map.end(), std::inserter(types, types.begin()), [](const auto& gt) { return gt.second; }); + this->with_gate_types(types, overwrite); + + return *this; + } + + Configuration& Configuration::with_control_pin_types(const std::set& types, bool overwrite) + { + if (overwrite) + { + this->control_pin_types = types; + } + else + { + this->control_pin_types.insert(types.begin(), types.end()); + } + return *this; } - Configuration& Configuration::with_register_stage_identification(bool enable) + Configuration& Configuration::with_stage_identification(bool enable) { - this->enable_register_stages = enable; + this->enable_stages = enable; return *this; } diff --git a/plugins/dataflow_analysis/src/api/dataflow.cpp b/plugins/dataflow_analysis/src/api/dataflow.cpp index bd3a3424533..3c673c26ff3 100644 --- a/plugins/dataflow_analysis/src/api/dataflow.cpp +++ b/plugins/dataflow_analysis/src/api/dataflow.cpp @@ -9,13 +9,23 @@ namespace hal { namespace dataflow { - hal::Result analyze(Netlist* nl, const Configuration& config) + hal::Result analyze(const Configuration& config) { - if (nl == nullptr) + if (config.netlist == nullptr) { return ERR("netlist is a nullptr"); } + if (config.gate_types.empty()) + { + return ERR("no gate types specified"); + } + + if (config.control_pin_types.empty()) + { + return ERR("no control pin types specified"); + } + // set up dataflow analysis double total_time = 0; auto begin_time = std::chrono::high_resolution_clock::now(); @@ -24,6 +34,7 @@ namespace hal proc_config.pass_layers = 2; proc_config.num_threads = std::thread::hardware_concurrency(); proc_config.enforce_type_consistency = config.enforce_type_consistency; + proc_config.has_known_groups = !config.known_net_groups.empty(); dataflow::evaluation::Context eval_ctx; @@ -36,9 +47,9 @@ namespace hal log_info("dataflow", "will prioritize sizes {}", utils::join(", ", config.expected_sizes)); } - auto netlist_abstr = dataflow::pre_processing::run(nl, config.enable_register_stages); - auto initial_grouping = std::make_shared(netlist_abstr, config.known_groups); - std::shared_ptr final_grouping; + std::shared_ptr initial_grouping = nullptr; + auto netlist_abstr = dataflow::pre_processing::run(config, initial_grouping); + std::shared_ptr final_grouping = nullptr; u32 iteration = 0; while (true) @@ -62,11 +73,13 @@ namespace hal iteration++; } + dataflow::processing::clear(); + total_time = (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000; log_info("dataflow", "dataflow processing finished in {:3.2f}s", total_time); - return OK(dataflow::Result(nl, *final_grouping)); + return OK(dataflow::Result(config.netlist, *final_grouping)); } } // namespace dataflow } // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/src/api/result.cpp b/plugins/dataflow_analysis/src/api/result.cpp index d8441ec5c2c..556985cfa06 100644 --- a/plugins/dataflow_analysis/src/api/result.cpp +++ b/plugins/dataflow_analysis/src/api/result.cpp @@ -1,6 +1,7 @@ #include "dataflow_analysis/api/result.h" #include "hal_core/netlist/gate.h" +#include "hal_core/netlist/gate_library/gate_type.h" #include "hal_core/netlist/module.h" #include "hal_core/netlist/netlist.h" #include "hal_core/utilities/log.h" @@ -30,7 +31,7 @@ namespace hal m_netlist = nl; const auto& na = grouping.netlist_abstr; - for (const auto* gate : na.all_sequential_gates) + for (const auto* gate : na.target_gates) { auto gate_id = gate->get_id(); @@ -50,35 +51,14 @@ namespace hal } } - if (const auto it = na.gate_to_clock_signals.find(gate_id); it != na.gate_to_clock_signals.end()) + if (const auto it = na.gate_to_control_signals.find(gate_id); it != na.gate_to_control_signals.end()) { - for (auto clock_net_id : std::get<1>(*it)) + for (const auto& [type, signals] : std::get<1>(*it)) { - this->m_gate_signals[gate][PinType::clock].insert(nl->get_net_by_id(clock_net_id)); - } - } - - if (const auto it = na.gate_to_enable_signals.find(gate_id); it != na.gate_to_enable_signals.end()) - { - for (auto enable_net_id : std::get<1>(*it)) - { - this->m_gate_signals[gate][PinType::enable].insert(nl->get_net_by_id(enable_net_id)); - } - } - - if (const auto it = na.gate_to_reset_signals.find(gate_id); it != na.gate_to_reset_signals.end()) - { - for (auto reset_net_id : std::get<1>(*it)) - { - this->m_gate_signals[gate][PinType::reset].insert(nl->get_net_by_id(reset_net_id)); - } - } - - if (const auto it = na.gate_to_set_signals.find(gate_id); it != na.gate_to_set_signals.end()) - { - for (auto set_net_id : std::get<1>(*it)) - { - this->m_gate_signals[gate][PinType::set].insert(nl->get_net_by_id(set_net_id)); + for (auto signal_net_id : signals) + { + this->m_gate_signals[gate][type].insert(nl->get_net_by_id(signal_net_id)); + } } } } @@ -94,24 +74,12 @@ namespace hal } m_gates_of_group[group_id] = gates; - for (const auto net_id : grouping.get_clock_signals_of_group(group_id)) - { - this->m_group_signals[group_id][PinType::clock].insert(m_netlist->get_net_by_id(net_id)); - } - - for (const auto net_id : grouping.get_control_signals_of_group(group_id)) - { - this->m_group_signals[group_id][PinType::enable].insert(m_netlist->get_net_by_id(net_id)); - } - - for (const auto net_id : grouping.get_reset_signals_of_group(group_id)) - { - this->m_group_signals[group_id][PinType::reset].insert(m_netlist->get_net_by_id(net_id)); - } - - for (const auto net_id : grouping.get_set_signals_of_group(group_id)) + for (const auto& [type, signals] : grouping.get_control_signals_of_group(group_id)) { - this->m_group_signals[group_id][PinType::set].insert(m_netlist->get_net_by_id(net_id)); + for (auto signal_net_id : signals) + { + this->m_group_signals[group_id][type].insert(m_netlist->get_net_by_id(signal_net_id)); + } } if (auto suc_ids = grouping.get_successor_groups_of_group(group_id); !suc_ids.empty()) @@ -446,21 +414,29 @@ namespace hal return ERR("failed to open file at '" + write_path.string() + "' for writing dataflow gate groups."); } - hal::Result> dataflow::Result::create_modules(const std::unordered_set& group_ids) const + hal::Result> dataflow::Result::create_modules(const std::map& module_suffixes, + const std::map, std::string>& pin_prefixes, + const std::unordered_set& group_ids) const { auto* nl = this->get_netlist(); // delete all modules that start with DANA + std::vector modules_to_delete; for (const auto mod : nl->get_modules()) { - if (mod->get_name().find("DANA") != std::string::npos) + if (utils::starts_with(mod->get_name(), std::string("DANA_"))) { - nl->delete_module(mod); + modules_to_delete.push_back(mod); } } + + for (auto* mod : modules_to_delete) + { + nl->delete_module(mod); + } log_info("dataflow", "successfully deleted old DANA modules"); - // create new modules and try to keep hierachy if possible + // create new modules and try to keep hierarchy if possible std::unordered_map group_to_module; for (const auto& [group_id, group] : this->get_groups()) { @@ -470,8 +446,10 @@ namespace hal } bool gate_hierachy_matches_for_all = true; + bool gate_type_matches_for_all = true; bool first_run = true; - auto* reference_module = nl->get_top_module(); + const GateType* gate_type; + auto* reference_module = nl->get_top_module(); std::vector gates; for (const auto gate : group) @@ -481,13 +459,15 @@ namespace hal if (first_run) { reference_module = gate->get_module(); + gate_type = gate->get_type(); first_run = false; } - else if (!gate_hierachy_matches_for_all) + else if (gate->get_module() != reference_module) { - continue; + gate_hierachy_matches_for_all = false; } - else if (gate->get_module() != reference_module) + + if (gate_type != gate->get_type()) { gate_hierachy_matches_for_all = false; } @@ -498,124 +478,134 @@ namespace hal reference_module = nl->get_top_module(); } - auto* new_mod = nl->create_module("DANA_register_" + std::to_string(group_id), reference_module, gates); - group_to_module[group_id] = new_mod; + std::string suffix; + if (const auto it = module_suffixes.find(gate_type); gate_type_matches_for_all && it != module_suffixes.end()) + { + suffix = it->second; + } + else + { + suffix = "module"; + } - PinGroup* data_in_group = nullptr; - PinGroup* data_out_group = nullptr; + auto* new_mod = nl->create_module("DANA_" + suffix + "_" + std::to_string(group_id), reference_module, gates); + group_to_module[group_id] = new_mod; + std::map, PinGroup*> pin_groups; for (auto* pin : new_mod->get_pins()) { if (pin->get_direction() == PinDirection::input) { const auto destinations = pin->get_net()->get_destinations([new_mod](const Endpoint* ep) { return ep->get_gate()->get_module() == new_mod; }); - if (std::all_of(destinations.begin(), destinations.end(), [](const Endpoint* ep) { return ep->get_pin()->get_type() == PinType::data; })) + + const auto* first_pin = destinations.front()->get_pin(); + auto pin_type = first_pin->get_type(); + auto pin_name = first_pin->get_name(); + if (std::all_of(destinations.begin(), destinations.end(), [pin_name](const Endpoint* ep) { return ep->get_pin()->get_name() == pin_name; })) { - if (data_in_group == nullptr) + const auto pg_key = std::make_pair(PinDirection::input, pin_name); + + std::string prefix; + if (const auto prefix_it = pin_prefixes.find(pg_key); prefix_it != pin_prefixes.end()) { - data_in_group = pin->get_group().first; - new_mod->set_pin_group_name(data_in_group, "DI"); - new_mod->set_pin_group_type(data_in_group, PinType::data); + prefix = prefix_it->second; } else { - if (!new_mod->assign_pin_to_group(data_in_group, pin)) + prefix = "i_" + pin_name; + } + + if (const auto pg_it = pin_groups.find(pg_key); pg_it == pin_groups.end()) + { + auto* pin_group = pin->get_group().first; + pin_groups[pg_key] = pin_group; + new_mod->set_pin_group_name(pin_group, prefix); + new_mod->set_pin_group_type(pin_group, pin_type); + } + else + { + if (!new_mod->assign_pin_to_group(pg_it->second, pin)) { log_warning("dataflow", "Assign pin to group failed."); } } - new_mod->set_pin_name(pin, "DI(" + std::to_string(pin->get_group().second) + ")"); - new_mod->set_pin_type(pin, PinType::data); + new_mod->set_pin_name(pin, prefix + "(" + std::to_string(pin->get_group().second) + ")"); + new_mod->set_pin_type(pin, pin_type); } } else if (pin->get_direction() == PinDirection::output) { const auto sources = pin->get_net()->get_sources([new_mod](const Endpoint* ep) { return ep->get_gate()->get_module() == new_mod; }); - if (sources.size() == 1 && sources.at(0)->get_pin()->get_type() == PinType::state) + + const auto* first_pin = sources.front()->get_pin(); + auto pin_type = first_pin->get_type(); + auto pin_name = first_pin->get_name(); + if (sources.size() == 1) { - if (data_out_group == nullptr) + const auto pg_key = std::make_pair(PinDirection::output, pin_name); + + std::string prefix; + if (const auto prefix_it = pin_prefixes.find(pg_key); prefix_it != pin_prefixes.end()) + { + prefix = prefix_it->second; + } + else { - data_out_group = pin->get_group().first; - new_mod->set_pin_group_name(data_out_group, "DO"); - new_mod->set_pin_group_type(data_out_group, PinType::data); + prefix = "o_" + pin_name; + } + + if (const auto pg_it = pin_groups.find(pg_key); pg_it == pin_groups.end()) + { + auto* pin_group = pin->get_group().first; + pin_groups[pg_key] = pin_group; + new_mod->set_pin_group_name(pin_group, prefix); + new_mod->set_pin_group_type(pin_group, pin_type); } else { - if (!new_mod->assign_pin_to_group(data_out_group, pin)) + if (!new_mod->assign_pin_to_group(pg_it->second, pin)) { log_warning("dataflow", "Assign pin to group failed."); } } - new_mod->set_pin_name(pin, "DO(" + std::to_string(pin->get_group().second) + ")"); - new_mod->set_pin_type(pin, PinType::data); + new_mod->set_pin_name(pin, prefix + "(" + std::to_string(pin->get_group().second) + ")"); + new_mod->set_pin_type(pin, pin_type); } } } - for (const auto& [pin_type, nets] : m_group_signals.at(group_id)) + // rename pins if only single pin in pin group (remove "(" and ")") + for (const auto* pin_group : new_mod->get_pin_groups()) { - std::string prefix; - switch (pin_type) + if (pin_group->size() == 1) { - case PinType::clock: - prefix = "CLK"; - break; - case PinType::enable: - prefix = "EN"; - break; - case PinType::reset: - prefix = "RST"; - break; - case PinType::set: - prefix = "SET"; - break; - default: - continue; - } - - PinGroup* pin_group = nullptr; - for (auto* net : nets) - { - auto* pin = new_mod->get_pin_by_net(net); - - if (pin_group == nullptr) - { - pin_group = pin->get_group().first; - new_mod->set_pin_group_name(pin_group, prefix); - new_mod->set_pin_group_type(pin_group, pin_type); - } - else - { - if (!new_mod->assign_pin_to_group(pin_group, pin)) - { - log_warning("dataflow", "Assign pin to group failed."); - } - } - - if (nets.size() == 1) - { - new_mod->set_pin_name(pin, prefix); - } - else - { - if (const auto res = pin_group->get_index(pin); res.is_error()) - { - log_warning("dataflow", "{}", res.get_error().get()); - } - else - { - new_mod->set_pin_name(pin, prefix + "(" + std::to_string(res.get()) + ")"); - } - } - new_mod->set_pin_type(pin, pin_type); + auto* pin = pin_group->get_pins().front(); + new_mod->set_pin_name(pin, pin_group->get_name()); } } } return OK(group_to_module); } + hal::Result> dataflow::Result::create_modules(const std::map& module_suffixes, + const std::map, std::string>& pin_prefixes, + const std::unordered_set& group_ids) const + { + const auto* gl = this->m_netlist->get_gate_library(); + std::map gate_type_suffixes; + for (const auto& suffix : module_suffixes) + { + for (const auto& [_, type] : gl->get_gate_types([suffix](const GateType* gt) { return gt->has_property(suffix.first); })) + { + gate_type_suffixes[type] = suffix.second; + } + } + + return this->create_modules(gate_type_suffixes, pin_prefixes, group_ids); + } + std::vector> dataflow::Result::get_groups_as_list(const std::unordered_set& group_ids) const { std::vector> groups; diff --git a/plugins/dataflow_analysis/src/common/grouping.cpp b/plugins/dataflow_analysis/src/common/grouping.cpp index 170f14fa543..cbbd2b5ac3b 100644 --- a/plugins/dataflow_analysis/src/common/grouping.cpp +++ b/plugins/dataflow_analysis/src/common/grouping.cpp @@ -13,20 +13,20 @@ namespace hal { } - Grouping::Grouping(const NetlistAbstraction& na, const std::vector>& groups) : Grouping(na) + Grouping::Grouping(const NetlistAbstraction& na, const std::vector>& groups) : Grouping(na) { /* initialize state */ u32 new_id_counter = -1; bool group_is_known; - for (const auto& gate : na.all_sequential_gates) + for (const auto& gate : na.target_gates) { group_is_known = false; for (const auto& gates : groups) { - for (const auto& gate_id : gates) + for (const auto& g : gates) { - if (gate->get_id() == gate_id) + if (gate == g) { group_is_known = true; break; @@ -59,12 +59,13 @@ namespace hal u32 new_group_id = ++new_id_counter; this->operations_on_group_allowed[new_group_id] = false; - this->group_control_fingerprint_map[new_group_id] = na.gate_to_fingerprint.at(*gates.begin()); - this->gates_of_group[new_group_id].insert(gates.begin(), gates.end()); + this->group_control_fingerprint_map[new_group_id] = na.gate_to_fingerprint.at(gates.front()->get_id()); - for (const auto& gate_id : gates) + for (const auto& g : gates) { - this->parent_group_of_gate[gate_id] = new_group_id; + auto gid = g->get_id(); + this->gates_of_group[new_group_id].insert(gid); + this->parent_group_of_gate[gid] = new_group_id; } } } @@ -103,33 +104,13 @@ namespace hal return !(*this == other); } - std::unordered_set Grouping::get_clock_signals_of_group(u32 id) const + std::map> Grouping::get_control_signals_of_group(u32 group_id) const { - return get_signals_of_group(id, this->netlist_abstr.gate_to_clock_signals); - } - - std::unordered_set Grouping::get_control_signals_of_group(u32 id) const - { - return get_signals_of_group(id, this->netlist_abstr.gate_to_enable_signals); - } + std::map> res; - std::unordered_set Grouping::get_reset_signals_of_group(u32 id) const - { - return get_signals_of_group(id, this->netlist_abstr.gate_to_reset_signals); - } - - std::unordered_set Grouping::get_set_signals_of_group(u32 id) const - { - return get_signals_of_group(id, this->netlist_abstr.gate_to_set_signals); - } - - std::unordered_set Grouping::get_signals_of_group(u32 id, const std::unordered_map>& signals) const - { - std::unordered_set res; - - for (auto gate : gates_of_group.at(id)) + for (auto gate : gates_of_group.at(group_id)) { - if (auto it = signals.find(gate); it != signals.end()) + if (auto it = this->netlist_abstr.gate_to_control_signals.find(gate); it != this->netlist_abstr.gate_to_control_signals.end()) { res.insert(it->second.begin(), it->second.end()); } @@ -172,11 +153,11 @@ namespace hal return std::set(intersect.begin(), intersect.end()); } - std::unordered_set Grouping::get_successor_groups_of_group(u32 id) const + std::unordered_set Grouping::get_successor_groups_of_group(u32 group_id) const { { std::shared_lock lock(cache.mutex); - if (auto it = cache.suc_cache.find(id); it != cache.suc_cache.end()) + if (auto it = cache.suc_cache.find(group_id); it != cache.suc_cache.end()) { return it->second; } @@ -184,13 +165,13 @@ namespace hal std::unique_lock lock(cache.mutex); // check again, since another thread might have gotten the unique lock first - if (auto it = cache.suc_cache.find(id); it != cache.suc_cache.end()) + if (auto it = cache.suc_cache.find(group_id); it != cache.suc_cache.end()) { return it->second; } std::unordered_set successors; - for (auto gate : gates_of_group.at(id)) + for (auto gate : gates_of_group.at(group_id)) { for (auto gate_id : this->netlist_abstr.gate_to_successors.at(gate)) { @@ -198,16 +179,16 @@ namespace hal } } - cache.suc_cache.emplace(id, successors); + cache.suc_cache.emplace(group_id, successors); return successors; } - std::unordered_set Grouping::get_predecessor_groups_of_group(u32 id) const + std::unordered_set Grouping::get_predecessor_groups_of_group(u32 group_id) const { { std::shared_lock lock(cache.mutex); - if (auto it = cache.pred_cache.find(id); it != cache.pred_cache.end()) + if (auto it = cache.pred_cache.find(group_id); it != cache.pred_cache.end()) { return it->second; } @@ -215,13 +196,13 @@ namespace hal std::unique_lock lock(cache.mutex); // check again, since another thread might have gotten the unique lock first - if (auto it = cache.pred_cache.find(id); it != cache.pred_cache.end()) + if (auto it = cache.pred_cache.find(group_id); it != cache.pred_cache.end()) { return it->second; } std::unordered_set predecessors; - for (auto gate : gates_of_group.at(id)) + for (auto gate : gates_of_group.at(group_id)) { for (auto gate_id : this->netlist_abstr.gate_to_predecessors.at(gate)) { @@ -229,7 +210,69 @@ namespace hal } } - cache.pred_cache.emplace(id, predecessors); + cache.pred_cache.emplace(group_id, predecessors); + + return predecessors; + } + + std::unordered_set Grouping::get_known_successor_groups_of_group(u32 group_id) const + { + { + std::shared_lock lock(cache.mutex); + if (auto it = cache.suc_known_group_cache.find(group_id); it != cache.suc_known_group_cache.end()) + { + return it->second; + } + } + std::unique_lock lock(cache.mutex); + + // check again, since another thread might have gotten the unique lock first + if (auto it = cache.suc_known_group_cache.find(group_id); it != cache.suc_known_group_cache.end()) + { + return it->second; + } + + std::unordered_set successors; + for (auto gate : gates_of_group.at(group_id)) + { + for (auto known_group_id : this->netlist_abstr.gate_to_known_successor_groups.at(gate)) + { + successors.insert(known_group_id); + } + } + + cache.suc_known_group_cache.emplace(group_id, successors); + + return successors; + } + + std::unordered_set Grouping::get_known_predecessor_groups_of_group(u32 group_id) const + { + { + std::shared_lock lock(cache.mutex); + if (auto it = cache.pred_known_group_cache.find(group_id); it != cache.pred_known_group_cache.end()) + { + return it->second; + } + } + std::unique_lock lock(cache.mutex); + + // check again, since another thread might have gotten the unique lock first + if (auto it = cache.pred_known_group_cache.find(group_id); it != cache.pred_known_group_cache.end()) + { + return it->second; + } + + std::unordered_set predecessors; + for (auto gate : gates_of_group.at(group_id)) + { + for (auto known_group_id : this->netlist_abstr.gate_to_known_predecessor_groups.at(gate)) + { + predecessors.insert(known_group_id); + } + } + + cache.pred_known_group_cache.emplace(group_id, predecessors); return predecessors; } diff --git a/plugins/dataflow_analysis/src/evaluation/evaluation.cpp b/plugins/dataflow_analysis/src/evaluation/evaluation.cpp index 5ab908e1c0f..24477e143a6 100644 --- a/plugins/dataflow_analysis/src/evaluation/evaluation.cpp +++ b/plugins/dataflow_analysis/src/evaluation/evaluation.cpp @@ -54,8 +54,8 @@ namespace hal // mark all sequential gates as unassigned gates std::vector unassigned_gates; - unassigned_gates.reserve(netlist_abstr.all_sequential_gates.size()); - std::transform(netlist_abstr.all_sequential_gates.begin(), netlist_abstr.all_sequential_gates.end(), std::back_inserter(unassigned_gates), [](auto& g) { return g->get_id(); }); + unassigned_gates.reserve(netlist_abstr.target_gates.size()); + std::transform(netlist_abstr.target_gates.begin(), netlist_abstr.target_gates.end(), std::back_inserter(unassigned_gates), [](auto& g) { return g->get_id(); }); // sort unassignes gates to be able to use std::algorithms std::sort(unassigned_gates.begin(), unassigned_gates.end()); diff --git a/plugins/dataflow_analysis/src/plugin_dataflow.cpp b/plugins/dataflow_analysis/src/plugin_dataflow.cpp index 61dc7b16587..91a1b9b29fe 100644 --- a/plugins/dataflow_analysis/src/plugin_dataflow.cpp +++ b/plugins/dataflow_analysis/src/plugin_dataflow.cpp @@ -56,7 +56,7 @@ namespace hal { UNUSED(args); - dataflow::Configuration config; + dataflow::Configuration config(nl); std::string path; if (args.is_option_set("--path")) @@ -91,7 +91,7 @@ namespace hal } } - auto grouping_res = dataflow::analyze(nl, config); + auto grouping_res = dataflow::analyze(config); if (grouping_res.is_error()) { log_error("dataflow", "dataflow analysis failed:\n{}", grouping_res.get_error().get()); @@ -125,12 +125,12 @@ namespace hal std::string s; while (std::getline(f, s, ',')) { - m_config.expected_sizes.emplace_back(std::stoi(s)); + m_expected_sizes.emplace_back(std::stoi(s)); } } else if (par.get_tagname() == "min_group_size") { - m_config.min_group_size = atoi(par.get_value().c_str()); + m_min_group_size = atoi(par.get_value().c_str()); } else if (par.get_tagname() == "write_txt") { @@ -150,7 +150,7 @@ namespace hal } else if (par.get_tagname() == "register_stage_identification") { - m_config.enable_register_stages = (par.get_value() == "true"); + m_enable_stages = (par.get_value() == "true"); } else if (par.get_tagname() == "exec") { @@ -178,7 +178,13 @@ namespace hal dataflow::GuiLayoutLocker gll; - auto grouping_res = dataflow::analyze(nl, m_config); + auto config = dataflow::Configuration(nl) + .with_expected_sizes(m_expected_sizes) + .with_min_group_size(m_min_group_size) + .with_stage_identification(m_enable_stages) + .with_control_pin_types({PinType::clock, PinType::enable, PinType::reset, PinType::set}) + .with_gate_types({GateTypeProperty::ff}); + auto grouping_res = dataflow::analyze(config); if (grouping_res.is_error()) { log_error("dataflow", "dataflow analysis failed:\n{}", grouping_res.get_error().get()); @@ -225,10 +231,15 @@ namespace hal std::vector> known_groups, u32 min_group_size) { - auto config = - dataflow::Configuration().with_min_group_size(min_group_size).with_expected_sizes(sizes).with_known_groups(known_groups).with_register_stage_identification(register_stage_identification); - - const auto grouping_res = dataflow::analyze(nl, config); + auto config = dataflow::Configuration(nl) + .with_min_group_size(min_group_size) + .with_expected_sizes(sizes) + .with_known_groups(known_groups) + .with_stage_identification(register_stage_identification) + .with_control_pin_types({PinType::clock, PinType::enable, PinType::reset, PinType::set}) + .with_gate_types({GateTypeProperty::ff}); + + const auto grouping_res = dataflow::analyze(config); if (grouping_res.is_error()) { log_error("dataflow", "dataflow analysis failed:\n{}", grouping_res.get_error().get()); diff --git a/plugins/dataflow_analysis/src/pre_processing/pre_processing.cpp b/plugins/dataflow_analysis/src/pre_processing/pre_processing.cpp index df010daa66a..f6c196d6236 100644 --- a/plugins/dataflow_analysis/src/pre_processing/pre_processing.cpp +++ b/plugins/dataflow_analysis/src/pre_processing/pre_processing.cpp @@ -1,11 +1,14 @@ #include "dataflow_analysis/pre_processing/pre_processing.h" +#include "dataflow_analysis/api/configuration.h" +#include "dataflow_analysis/common/grouping.h" #include "dataflow_analysis/common/netlist_abstraction.h" #include "dataflow_analysis/pre_processing/register_stage_identification.h" #include "dataflow_analysis/utils/parallel_for_each.h" #include "dataflow_analysis/utils/progress_printer.h" #include "dataflow_analysis/utils/timing_utils.h" #include "hal_core/netlist/gate.h" +#include "hal_core/netlist/module.h" #include "hal_core/netlist/net.h" #include "hal_core/netlist/netlist.h" #include "hal_core/netlist/netlist_utils.h" @@ -24,229 +27,316 @@ namespace hal { namespace { - // void remove_buffers(NetlistAbstraction& netlist_abstr) - // { - // auto buf_gates = netlist_abstr.nl->get_gates([](auto g) { - // const GateType* gt = g->get_type(); - // return gt->get_name().find("BUF") != std::string::npos && gt->get_input_pins().size() == 1 && gt->get_output_pins().size() == 1; - // }); - // log_info("dataflow", "removing {} buffers", buf_gates.size()); - // for (const auto& g : buf_gates) - // { - // auto in_net = *(g->get_fan_in_nets().begin()); - // auto out_net = *(g->get_fan_out_nets().begin()); - // auto dsts = out_net->get_destinations(); - // for (const auto& dst : dsts) - // { - // out_net->remove_destination(dst->get_gate(), dst->get_pin()); - // in_net->add_destination(dst->get_gate(), dst->get_pin()); - // } - // netlist_abstr.nl->delete_net(out_net); - // netlist_abstr.nl->delete_gate(g); - // } - // } - - // void merge_duplicated_logic_cones(NetlistAbstraction& netlist_abstr) - // { - // measure_block_time("merge duplicated logic cones"); - - // auto all_sequential_gates = netlist_abstr.all_sequential_gates; - // auto all_gates = netlist_abstr.nl->get_gates(); - // std::sort(all_gates.begin(), all_gates.end()); - - // std::vector all_combinational_gates; - // all_combinational_gates.reserve(all_gates.size() - all_sequential_gates.size()); - // std::set_difference(all_gates.begin(), all_gates.end(), all_sequential_gates.begin(), all_sequential_gates.end(), std::back_inserter(all_combinational_gates)); - - // std::unordered_map, std::vector>> characteristic_of_gate; - - // log_info("dataflow", "computing boolean functions..."); - // { - // measure_block_time("computing boolean functions"); - - // // allocate values for all the gates so that each thread can access it without changing the container - // for (auto gate : all_combinational_gates) - // { - // characteristic_of_gate[gate] = {}; - // } - - // utils::parallel_for_each(all_combinational_gates, [&characteristic_of_gate](auto& g) { characteristic_of_gate.at(g) = compute_merge_characteristic_of_gate(g); }); - // } - - // log_info("dataflow", "merging gates..."); - // u32 gates_removed = 0; - // measure_block_time("merging gates"); - // bool changes = true; - // while (changes) - // { - // changes = false; - - // // map from gate we will keep (key) to set of gates that have exactly the same function and will be removed - // std::map, std::vector>, std::unordered_set> duplicate_gates; - // for (auto it : characteristic_of_gate) - // { - // duplicate_gates[it.second].insert(it.first); - // } - - // // delete all duplicate gates - // for (const auto& [function, gate_set] : duplicate_gates) - // { - // if (gate_set.size() > 1) - // { - // changes = true; - // auto it = gate_set.begin(); - // Gate* gate_1 = *it; - // it++; - // std::vector out_pins = gate_1->get_type()->get_output_pins(); - - // std::unordered_set affected_gates; - // for (; it != gate_set.end(); ++it) - // { - // auto gate_2 = *it; - - // for (auto out_pin : out_pins) - // { - // auto merge_net = gate_1->get_fan_out_net(out_pin); - // auto remove_net = gate_2->get_fan_out_net(out_pin); - // if (remove_net != nullptr) - // { - // if (merge_net == nullptr) - // { - // remove_net->remove_source(gate_2, out_pin); - // remove_net->add_source(gate_1, out_pin); - // } - // else - // { - // for (auto dst : remove_net->get_destinations()) - // { - // remove_net->remove_destination(dst->get_gate(), dst->get_pin()); - // merge_net->add_destination(dst->get_gate(), dst->get_pin()); - // affected_gates.insert(dst->get_gate()); - // } - // netlist_abstr.nl->delete_net(remove_net); - // } - // } - // } - // characteristic_of_gate.erase(gate_2); - // netlist_abstr.nl->delete_gate(gate_2); - // gates_removed++; - // } - - // for (auto affected_gate : affected_gates) - // { - // if (auto char_it = characteristic_of_gate.find(affected_gate); char_it != characteristic_of_gate.end()) - // { - // char_it->second = compute_merge_characteristic_of_gate(affected_gate); - // } - // } - // } - // } - // } - // log_info("dataflow", "merged {} gates into others, {} gates left", gates_removed, all_gates.size() - gates_removed); - // } - - void identify_all_sequential_gates(NetlistAbstraction& netlist_abstr) + void identify_all_target_gates(const dataflow::Configuration& config, NetlistAbstraction& netlist_abstr) { - // TODO currently only accepts FFs - log_info("dataflow", "identifying sequential gates"); - netlist_abstr.all_sequential_gates = netlist_abstr.nl->get_gates([&](auto g) { return g->get_type()->has_property(GateTypeProperty::ff); }); - std::sort(netlist_abstr.all_sequential_gates.begin(), netlist_abstr.all_sequential_gates.end(), [](const Gate* g1, const Gate* g2) { return g1->get_id() < g2->get_id(); }); + log_info("dataflow", "identifying target gates"); + netlist_abstr.target_gates = netlist_abstr.nl->get_gates([config](auto g) { return config.gate_types.find(g->get_type()) != config.gate_types.end(); }); + std::sort(netlist_abstr.target_gates.begin(), netlist_abstr.target_gates.end(), [](const Gate* g1, const Gate* g2) { return g1->get_id() < g2->get_id(); }); log_info("dataflow", " #gates: {}", netlist_abstr.nl->get_gates().size()); - log_info("dataflow", " #sequential gates: {}", netlist_abstr.all_sequential_gates.size()); + log_info("dataflow", " #target gates: {}", netlist_abstr.target_gates.size()); } - void identify_all_control_signals(NetlistAbstraction& netlist_abstr) + void identify_all_control_signals(const dataflow::Configuration& config, NetlistAbstraction& netlist_abstr) { auto begin_time = std::chrono::high_resolution_clock::now(); log_info("dataflow", "identifying control signals"); - for (auto sg : netlist_abstr.all_sequential_gates) + for (auto sg : netlist_abstr.target_gates) { std::vector fingerprint; auto id = sg->get_id(); sg->get_name(); - for (auto net : netlist_utils::get_nets_at_pins( - sg, sg->get_type()->get_pins([](const GatePin* p) { return p->get_direction() == PinDirection::input && p->get_type() == PinType::clock; }))) + for (auto type : config.control_pin_types) { - netlist_abstr.gate_to_clock_signals[id].insert(net->get_id()); - fingerprint.push_back(net->get_id()); + for (auto net : + netlist_utils::get_nets_at_pins(sg, sg->get_type()->get_pins([type](const GatePin* p) { return p->get_direction() == PinDirection::input && p->get_type() == type; }))) + { + netlist_abstr.gate_to_control_signals[id][type].insert(net->get_id()); + fingerprint.push_back(net->get_id()); + } } - for (auto net : netlist_utils::get_nets_at_pins( - sg, sg->get_type()->get_pins([](const GatePin* p) { return p->get_direction() == PinDirection::input && p->get_type() == PinType::enable; }))) - { - netlist_abstr.gate_to_enable_signals[id].insert(net->get_id()); - fingerprint.push_back(net->get_id()); - } + netlist_abstr.gate_to_fingerprint[id] = fingerprint; + } + + log_info("dataflow", " done after {:3.2f}s", seconds_since(begin_time)); + } - for (auto net : netlist_utils::get_nets_at_pins( - sg, sg->get_type()->get_pins([](const GatePin* p) { return p->get_direction() == PinDirection::input && p->get_type() == PinType::reset; }))) + void identify_known_groups(const dataflow::Configuration& config, NetlistAbstraction& netlist_abstr, std::vector>& known_target_groups) + { + // for known gates, only check if they all match the target gate types; discard groups that do not + for (const auto& gates : config.known_gate_groups) + { + if (std::all_of( + gates.begin(), gates.end(), [&netlist_abstr](const Gate* g) { return netlist_abstr.gate_to_fingerprint.find(g->get_id()) != netlist_abstr.gate_to_fingerprint.end(); })) { - netlist_abstr.gate_to_reset_signals[id].insert(net->get_id()); - fingerprint.push_back(net->get_id()); + known_target_groups.push_back(gates); } - - for (auto net : - netlist_utils::get_nets_at_pins(sg, sg->get_type()->get_pins([](const GatePin* p) { return p->get_direction() == PinDirection::input && p->get_type() == PinType::set; }))) + else { - netlist_abstr.gate_to_set_signals[id].insert(net->get_id()); - fingerprint.push_back(net->get_id()); + log_warning("dataflow", + "known group containing gate '{}' with ID {} contains gates that are not of the target gate type, known group will be ignored...", + gates.front()->get_name(), + gates.front()->get_id()); } - - netlist_abstr.gate_to_fingerprint[id] = fingerprint; } - - log_info("dataflow", " done after {:3.2f}s", seconds_since(begin_time)); } /* get all successor/predecessor FFs of all FFs */ - void identify_all_succesors_predecessors_ffs_of_all_ffs(NetlistAbstraction& netlist_abstr) + void identify_successors_predecessors(const dataflow::Configuration& config, NetlistAbstraction& netlist_abstr) { log_info("dataflow", "identifying successors and predecessors of sequential gates..."); measure_block_time("identifying successors and predecessors of sequential gates") ProgressPrinter progress_bar; float cnt = 0; - std::unordered_map> cache; + // cache map of nets to group indices of known net groups + std::unordered_map net_to_group_index; + for (u32 i = 0; i < config.known_net_groups.size(); i++) + { + const auto& net_group = config.known_net_groups.at(i); + if (net_group.size() < config.min_group_size) + { + continue; + } + + for (const auto* net : net_group) + { + net_to_group_index[net] = i; + } + } - for (const auto& single_ff : netlist_abstr.all_sequential_gates) + // find successors + std::unordered_map, std::unordered_set>> suc_cache; + std::unordered_map> pred_cache; + for (const auto& gate : netlist_abstr.target_gates) { cnt++; - progress_bar.print_progress(cnt / netlist_abstr.all_sequential_gates.size()); + progress_bar.print_progress(cnt / netlist_abstr.target_gates.size()); + // create sets even if there are no successors - if (netlist_abstr.gate_to_successors.find(single_ff->get_id()) == netlist_abstr.gate_to_successors.end()) + if (netlist_abstr.gate_to_successors.find(gate->get_id()) == netlist_abstr.gate_to_successors.end()) + { + netlist_abstr.gate_to_successors[gate->get_id()] = std::unordered_set(); + } + if (netlist_abstr.gate_to_predecessors.find(gate->get_id()) == netlist_abstr.gate_to_predecessors.end()) + { + netlist_abstr.gate_to_predecessors[gate->get_id()] = std::unordered_set(); + } + if (netlist_abstr.gate_to_known_successor_groups.find(gate->get_id()) == netlist_abstr.gate_to_known_successor_groups.end()) + { + netlist_abstr.gate_to_known_successor_groups[gate->get_id()] = std::unordered_set(); + } + if (netlist_abstr.gate_to_known_predecessor_groups.find(gate->get_id()) == netlist_abstr.gate_to_known_predecessor_groups.end()) { - netlist_abstr.gate_to_successors[single_ff->get_id()] = std::unordered_set(); + netlist_abstr.gate_to_known_predecessor_groups[gate->get_id()] = std::unordered_set(); } - if (netlist_abstr.gate_to_predecessors.find(single_ff->get_id()) == netlist_abstr.gate_to_predecessors.end()) + + const auto& start_fan_out_nets = gate->get_fan_out_nets(); + std::vector stack(start_fan_out_nets.cbegin(), start_fan_out_nets.cend()); // init stack with fan-out of start gate + std::unordered_set visited; + std::vector previous; // will keep track of all predecessor nets of the current net + while (!stack.empty()) { - netlist_abstr.gate_to_predecessors[single_ff->get_id()] = std::unordered_set(); + const Net* current = stack.back(); // do not pop last item yet + + if (!previous.empty() && current == previous.back()) + { + // will execute when coming back to a net along the path of predecessor nets (i.e., after finding a target gate or when unable to propagate further) + stack.pop_back(); + previous.pop_back(); + continue; + } + + visited.insert(current); + + if (const auto suc_cache_it = suc_cache.find(current); suc_cache_it != suc_cache.end()) + { + auto& suc_cache_current = std::get<1>(*suc_cache_it); + const auto& suc_cached_gates = std::get<0>(suc_cache_current); + const auto& suc_cached_net_groups = std::get<1>(suc_cache_current); + + // add cached target gates and known successor net groups to suc_cache of all predecessor nets + for (const auto* n : previous) + { + auto& suc_cache_n = suc_cache[n]; + std::get<0>(suc_cache_n).insert(suc_cached_gates.cbegin(), suc_cached_gates.cend()); + std::get<1>(suc_cache_n).insert(suc_cached_net_groups.cbegin(), suc_cached_net_groups.cend()); + } + + // add cached net groups to known successor net groups of current gate + netlist_abstr.gate_to_known_successor_groups[gate->get_id()].insert(suc_cached_net_groups.cbegin(), suc_cached_net_groups.cend()); + + stack.pop_back(); + } + else + { + if (const auto group_it = net_to_group_index.find(current); group_it != net_to_group_index.end()) + { + std::get<1>(suc_cache[current]).insert(group_it->second); + for (const auto* n : previous) + { + std::get<1>(suc_cache[n]).insert(group_it->second); + } + netlist_abstr.gate_to_known_successor_groups[gate->get_id()].insert(group_it->second); + } + + bool added = false; + for (const auto* ep : current->get_destinations()) + { + auto* g = ep->get_gate(); + if (config.gate_types.find(g->get_type()) != config.gate_types.end()) + { + // if target gate found, add to suc_cache of all nets along the predecessor path + auto& suc_cache_current = suc_cache[current]; + std::get<0>(suc_cache_current).insert(g); + for (const auto* n : previous) + { + std::get<0>(suc_cache[n]).insert(g); + } + } + else + { + // propagate further by adding successors to stack + for (const auto* n : g->get_fan_out_nets()) + { + if (visited.find(n) == visited.end()) + { + stack.push_back(n); + added = true; + } + } + } + } + + if (added) + { + // keep track of previous net whenever propagating further + previous.push_back(current); + } + else + { + // if no change to stack, go back + stack.pop_back(); + } + } } - for (const auto& suc : netlist_utils::get_next_sequential_gates(single_ff, true, cache)) + + const auto& start_fan_in_nets = gate->get_fan_in_nets(); + stack = std::vector(start_fan_in_nets.begin(), start_fan_in_nets.end()); + visited.clear(); + previous.clear(); + while (!stack.empty()) { - netlist_abstr.gate_to_successors[single_ff->get_id()].insert(suc->get_id()); - netlist_abstr.gate_to_predecessors[suc->get_id()].insert(single_ff->get_id()); + const Net* current = stack.back(); // do not pop last item yet + + if (!previous.empty() && current == previous.back()) + { + // will execute when coming back to a net along the path of predecessor nets (i.e., after finding a target gate or when unable to propagate further) + stack.pop_back(); + previous.pop_back(); + continue; + } + + visited.insert(current); + + if (const auto pred_cache_it = pred_cache.find(current); pred_cache_it != pred_cache.end()) + { + auto& pred_cached_net_groups = std::get<1>(*pred_cache_it); + + // add cached known predecessor net groups to cache of all predecessor nets + for (const auto* n : previous) + { + pred_cache[n].insert(pred_cached_net_groups.cbegin(), pred_cached_net_groups.cend()); + } + + // add cached net groups to known predecessor net groups of current gate + netlist_abstr.gate_to_known_predecessor_groups[gate->get_id()].insert(pred_cached_net_groups.cbegin(), pred_cached_net_groups.cend()); + + stack.pop_back(); + } + else + { + if (const auto group_it = net_to_group_index.find(current); group_it != net_to_group_index.end()) + { + pred_cache[current].insert(group_it->second); + for (const auto* n : previous) + { + pred_cache[n].insert(group_it->second); + } + netlist_abstr.gate_to_known_predecessor_groups[gate->get_id()].insert(group_it->second); + } + + bool added = false; + for (const auto* ep : current->get_sources()) + { + auto* g = ep->get_gate(); + if (config.gate_types.find(g->get_type()) == config.gate_types.end()) + { + // propagate further by adding predecessors to stack + for (const auto* n : g->get_fan_in_nets()) + { + if (visited.find(n) == visited.end()) + { + stack.push_back(n); + added = true; + } + } + } + } + + if (added) + { + // keep track of previous net whenever propagating further + previous.push_back(current); + } + else + { + // if no change to stack, go back + stack.pop_back(); + } + } + } + + // collect successor target gates by getting cache of all fan-out nets of start gate + std::unordered_set next_target_gates; + for (const auto* n : start_fan_out_nets) + { + if (const auto it = suc_cache.find(n); it != suc_cache.end()) + { + const auto& cached_gates = std::get<0>(std::get<1>(*it)); + next_target_gates.insert(cached_gates.cbegin(), cached_gates.cend()); + } + } + + for (const auto& suc : next_target_gates) + { + netlist_abstr.gate_to_successors[gate->get_id()].insert(suc->get_id()); + netlist_abstr.gate_to_predecessors[suc->get_id()].insert(gate->get_id()); } } progress_bar.clear(); } } // namespace - NetlistAbstraction run(Netlist* netlist, bool register_stage_identification) + NetlistAbstraction run(const dataflow::Configuration& config, std::shared_ptr& initial_grouping) { log_info("dataflow", "pre-processing netlist..."); measure_block_time("pre-processing"); - NetlistAbstraction netlist_abstr(netlist); - //remove_buffers(netlist_abstr); - identify_all_sequential_gates(netlist_abstr); - //merge_duplicated_logic_cones(netlist_abstr); - identify_all_control_signals(netlist_abstr); - identify_all_succesors_predecessors_ffs_of_all_ffs(netlist_abstr); - - if (register_stage_identification) + NetlistAbstraction netlist_abstr(config.netlist); + std::vector> known_target_groups; + std::vector> known_net_groups; + identify_all_target_gates(config, netlist_abstr); + identify_all_control_signals(config, netlist_abstr); + identify_known_groups(config, netlist_abstr, known_target_groups); + identify_successors_predecessors(config, netlist_abstr); + + if (config.enable_stages) { identify_register_stages(netlist_abstr); } + initial_grouping = std::make_shared(netlist_abstr, known_target_groups); + return netlist_abstr; } } // namespace pre_processing diff --git a/plugins/dataflow_analysis/src/pre_processing/register_stage_identification.cpp b/plugins/dataflow_analysis/src/pre_processing/register_stage_identification.cpp index b71692eb853..98b43a66b17 100644 --- a/plugins/dataflow_analysis/src/pre_processing/register_stage_identification.cpp +++ b/plugins/dataflow_analysis/src/pre_processing/register_stage_identification.cpp @@ -39,7 +39,7 @@ namespace hal log_info("dataflow", "directional register stages: {}", ctx.name); std::unordered_set unassigned_gates; - for (const auto& g : netlist_abstr.all_sequential_gates) + for (const auto& g : netlist_abstr.target_gates) { unassigned_gates.insert(g->get_id()); } @@ -50,10 +50,10 @@ namespace hal float cnt = 0; std::unordered_map stage_index_of_gate; - for (const auto& sequential_gate : netlist_abstr.all_sequential_gates) + for (const auto& sequential_gate : netlist_abstr.target_gates) { cnt++; - progress_bar.print_progress(cnt / netlist_abstr.all_sequential_gates.size()); + progress_bar.print_progress(cnt / netlist_abstr.target_gates.size()); auto current = sequential_gate->get_id(); diff --git a/plugins/dataflow_analysis/src/processing/pass_collection.cpp b/plugins/dataflow_analysis/src/processing/pass_collection.cpp index cb9c02b16e7..253ed1b96a0 100644 --- a/plugins/dataflow_analysis/src/processing/pass_collection.cpp +++ b/plugins/dataflow_analysis/src/processing/pass_collection.cpp @@ -3,9 +3,10 @@ #include "dataflow_analysis/processing/configuration.h" #include "dataflow_analysis/processing/passes/group_by_control_signals.h" #include "dataflow_analysis/processing/passes/group_by_input_output_size.h" +#include "dataflow_analysis/processing/passes/group_by_successor_predecessor_known_groups.h" #include "dataflow_analysis/processing/passes/group_by_successors_predecessors.h" #include "dataflow_analysis/processing/passes/group_by_successors_predecessors_iteratively.h" -#include "dataflow_analysis/processing/passes/merge_successor_predecessor_groupings.h" +#include "dataflow_analysis/processing/passes/split_by_successor_predecessor_known_groups.h" #include "dataflow_analysis/processing/passes/split_by_successors_predecessors.h" #include @@ -31,7 +32,7 @@ namespace hal std::vector m_all_passes; std::vector m_intermediate_passes; std::unordered_map> m_useless_follow_ups; - bool m_initialized; + bool m_initialized = false; PassConfiguration group_by_ctrl_sigs; @@ -41,19 +42,25 @@ namespace hal PassConfiguration group_by_successors; PassConfiguration group_by_predecessors; + PassConfiguration group_by_successor_known_groups; + PassConfiguration group_by_predecessor_known_groups; + PassConfiguration group_by_successors_iteratively; PassConfiguration group_by_predecessors_iteratively; PassConfiguration split_by_successors; PassConfiguration split_by_predecessors; + PassConfiguration split_by_successor_known_groups; + PassConfiguration split_by_predecessor_known_groups; + void initialize(const Configuration& config) { using namespace std::placeholders; // start passes - group_by_ctrl_sigs = m_all_passes.emplace_back(std::bind(&group_by_control_signals::process, config, _1, true, true, true, true)); + group_by_ctrl_sigs = m_all_passes.emplace_back(std::bind(&group_by_control_signals::process, config, _1)); group_by_output_size = m_all_passes.emplace_back(std::bind(&group_by_input_output_size::process, config, _1, false)); group_by_input_size = m_all_passes.emplace_back(std::bind(&group_by_input_output_size::process, config, _1, true)); @@ -67,10 +74,20 @@ namespace hal split_by_successors = m_all_passes.emplace_back(std::bind(&split_by_successors_predecessors::process, config, _1, true)); split_by_predecessors = m_all_passes.emplace_back(std::bind(&split_by_successors_predecessors::process, config, _1, false)); + if (config.has_known_groups) + { + group_by_successor_known_groups = m_all_passes.emplace_back(std::bind(&group_by_successor_predecessor_known_groups::process, config, _1, true)); + group_by_predecessor_known_groups = m_all_passes.emplace_back(std::bind(&group_by_successor_predecessor_known_groups::process, config, _1, false)); + split_by_successor_known_groups = m_all_passes.emplace_back(std::bind(&split_by_successor_predecessor_known_groups::process, config, _1, true)); + split_by_predecessor_known_groups = m_all_passes.emplace_back(std::bind(&split_by_successor_predecessor_known_groups::process, config, _1, false)); + } + m_useless_follow_ups[group_by_successors.id].insert(split_by_successors.id); m_useless_follow_ups[group_by_predecessors.id].insert(split_by_predecessors.id); m_useless_follow_ups[group_by_successors_iteratively.id].insert(group_by_successors.id); m_useless_follow_ups[group_by_predecessors_iteratively.id].insert(group_by_predecessors.id); + m_useless_follow_ups[group_by_successor_known_groups.id].insert(split_by_successor_known_groups.id); + m_useless_follow_ups[group_by_predecessor_known_groups.id].insert(split_by_predecessor_known_groups.id); m_initialized = true; } @@ -112,7 +129,12 @@ namespace hal return passes; } + void clear() + { + m_initialized = false; + } + } // namespace pass_collection - } // namespace processing - } // namespace dataflow -} \ No newline at end of file + } // namespace processing + } // namespace dataflow +} // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/src/processing/passes/group_by_control_signals.cpp b/plugins/dataflow_analysis/src/processing/passes/group_by_control_signals.cpp index 61c9ad7bbcf..645b2877ffe 100644 --- a/plugins/dataflow_analysis/src/processing/passes/group_by_control_signals.cpp +++ b/plugins/dataflow_analysis/src/processing/passes/group_by_control_signals.cpp @@ -13,7 +13,7 @@ namespace hal { namespace group_by_control_signals { - std::shared_ptr process(const processing::Configuration& config, const std::shared_ptr& state, bool clock, bool clock_enable, bool reset, bool set) + std::shared_ptr process(const processing::Configuration& config, const std::shared_ptr& state) { auto new_state = std::make_shared(state->netlist_abstr); @@ -22,27 +22,10 @@ namespace hal for (const auto& [group_id, gates] : state->gates_of_group) { std::set candidate_characteristic_set; - if (clock) + for (const auto& [_, signals] : state->get_control_signals_of_group(group_id)) { - auto signals = state->get_clock_signals_of_group(group_id); candidate_characteristic_set.insert(signals.begin(), signals.end()); } - if (clock_enable) - { - auto signals = state->get_control_signals_of_group(group_id); - candidate_characteristic_set.insert(signals.begin(), signals.end()); - } - if (reset) - { - auto signals = state->get_reset_signals_of_group(group_id); - candidate_characteristic_set.insert(signals.begin(), signals.end()); - } - if (set) - { - auto signals = state->get_set_signals_of_group(group_id); - candidate_characteristic_set.insert(signals.begin(), signals.end()); - } - characteristics_map[candidate_characteristic_set].insert(group_id); } @@ -97,63 +80,6 @@ namespace hal return new_state; } - - std::shared_ptr pure_control_signals_process(const processing::Configuration& config, const std::shared_ptr& state, bool clock, bool clock_enable, bool reset, bool set) - { - auto new_state = std::make_shared(state->netlist_abstr); - - /* check characteristics */ - std::map, std::unordered_set> characteristics_map; - for (const auto& [group_id, gates] : state->gates_of_group) - { - std::set candidate_characteristic_set; - if (clock) - { - auto signals = state->get_clock_signals_of_group(group_id); - candidate_characteristic_set.insert(signals.begin(), signals.end()); - } - if (clock_enable) - { - auto signals = state->get_control_signals_of_group(group_id); - candidate_characteristic_set.insert(signals.begin(), signals.end()); - } - if (reset) - { - auto signals = state->get_reset_signals_of_group(group_id); - candidate_characteristic_set.insert(signals.begin(), signals.end()); - } - if (set) - { - auto signals = state->get_set_signals_of_group(group_id); - candidate_characteristic_set.insert(signals.begin(), signals.end()); - } - - characteristics_map[candidate_characteristic_set].insert(group_id); - } - - /* merge groups */ - u32 id_counter = -1; - for (const auto& it : characteristics_map) - { - auto& groups_to_merge = it.second; - u32 new_group_id = ++id_counter; - - for (const auto& old_group : groups_to_merge) - { - auto gates = state->gates_of_group.at(old_group); - new_state->group_control_fingerprint_map[new_group_id] = new_state->netlist_abstr.gate_to_fingerprint.at(*gates.begin()); - new_state->operations_on_group_allowed[new_group_id] = state->operations_on_group_allowed.at(old_group); - new_state->gates_of_group[new_group_id].insert(gates.begin(), gates.end()); - for (const auto& g : gates) - { - new_state->parent_group_of_gate[g] = new_group_id; - } - } - } - - return new_state; - } - } // namespace group_by_control_signals } // namespace dataflow } // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/src/processing/passes/group_by_successor_predecessor_known_groups.cpp b/plugins/dataflow_analysis/src/processing/passes/group_by_successor_predecessor_known_groups.cpp new file mode 100644 index 00000000000..c5e74f240c8 --- /dev/null +++ b/plugins/dataflow_analysis/src/processing/passes/group_by_successor_predecessor_known_groups.cpp @@ -0,0 +1,109 @@ +#include "dataflow_analysis/processing/passes/group_by_successor_predecessor_known_groups.h" + +#include "dataflow_analysis/common/grouping.h" +#include "dataflow_analysis/common/netlist_abstraction.h" +#include "dataflow_analysis/processing/configuration.h" + +#include +#include +#include + +namespace hal +{ + namespace dataflow + { + namespace group_by_successor_predecessor_known_groups + { + std::shared_ptr process(const processing::Configuration& config, const std::shared_ptr& state, bool successors) + { + auto new_state = std::make_shared(state->netlist_abstr); + + /* check characteristics */ + std::map, std::list> characteristics_map; + std::vector groups_with_no_characteristics; + for (const auto& [group_id, group] : state->gates_of_group) + { + std::set characteristics_of_group; + + if (successors) + { + auto successing_known_group = state->get_known_successor_groups_of_group(group_id); + characteristics_of_group.insert(successing_known_group.begin(), successing_known_group.end()); + } + else + { + auto predecessing_known_group = state->get_known_predecessor_groups_of_group(group_id); + characteristics_of_group.insert(predecessing_known_group.begin(), predecessing_known_group.end()); + } + + if (characteristics_of_group.empty()) + { + groups_with_no_characteristics.push_back(group_id); + } + else + { + characteristics_map[characteristics_of_group].push_back(group_id); + } + } + + /* check if merge is allowed */ + std::vector> merge_sets; + for (auto& merge_candidates : characteristics_map) + { + auto& work_list = merge_candidates.second; + while (!work_list.empty()) + { + auto it = work_list.begin(); + auto group_id = *it; + it = work_list.erase(it); + + std::vector merge_set = {group_id}; + + while (it != work_list.end()) + { + auto test_group_id = *it; + + if (!state->are_groups_allowed_to_merge(group_id, test_group_id, config.enforce_type_consistency)) + { + ++it; + continue; + } + + merge_set.push_back(test_group_id); + it = work_list.erase(it); + } + merge_sets.push_back(merge_set); + } + } + + /* add all groups with no characteristics as singleton merge set */ + for (const auto& group_id : groups_with_no_characteristics) + { + merge_sets.push_back({group_id}); + } + + /* merge groups */ + u32 id_counter = -1; + for (const auto& groups_to_merge : merge_sets) + { + u32 new_group_id = ++id_counter; + + for (const auto& old_group : groups_to_merge) + { + auto gates = state->gates_of_group.at(old_group); + new_state->group_control_fingerprint_map[new_group_id] = new_state->netlist_abstr.gate_to_fingerprint.at(*gates.begin()); + new_state->operations_on_group_allowed[new_group_id] = state->operations_on_group_allowed.at(old_group); + new_state->gates_of_group[new_group_id].insert(gates.begin(), gates.end()); + for (const auto& sg : gates) + { + new_state->parent_group_of_gate[sg] = new_group_id; + } + } + } + + return new_state; + } + + } // namespace group_by_successor_predecessor_known_groups + } // namespace dataflow +} // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/src/processing/passes/remove_duplicates.cpp b/plugins/dataflow_analysis/src/processing/passes/remove_duplicates.cpp index 1160f57aa0c..c371d5a298c 100644 --- a/plugins/dataflow_analysis/src/processing/passes/remove_duplicates.cpp +++ b/plugins/dataflow_analysis/src/processing/passes/remove_duplicates.cpp @@ -104,7 +104,7 @@ namespace hal } /* insert missing gates */ - for (auto gate : state->netlist_abstr.all_sequential_gates) + for (auto gate : state->netlist_abstr.target_gates) { u32 gate_id = gate->get_id(); if (merged_gates.find(gate_id) == merged_gates.end()) diff --git a/plugins/dataflow_analysis/src/processing/passes/split_by_successor_predecessor_known_groups.cpp b/plugins/dataflow_analysis/src/processing/passes/split_by_successor_predecessor_known_groups.cpp new file mode 100644 index 00000000000..2252ee4b557 --- /dev/null +++ b/plugins/dataflow_analysis/src/processing/passes/split_by_successor_predecessor_known_groups.cpp @@ -0,0 +1,82 @@ +#include "dataflow_analysis/processing/passes/split_by_successor_predecessor_known_groups.h" + +#include "dataflow_analysis/common/grouping.h" +#include "dataflow_analysis/common/netlist_abstraction.h" +#include "dataflow_analysis/processing/configuration.h" + +#include +#include + +namespace hal +{ + namespace dataflow + { + namespace split_by_successor_predecessor_known_groups + { + std::shared_ptr process(const processing::Configuration& config, const std::shared_ptr& state, bool successors) + { + auto new_state = std::make_shared(state->netlist_abstr); + + u32 id_counter = -1; + for (const auto& [group_id, gates] : state->gates_of_group) + { + if (!state->is_group_allowed_to_split(group_id)) + { + u32 new_group_id = ++id_counter; + + new_state->group_control_fingerprint_map[new_group_id] = state->netlist_abstr.gate_to_fingerprint.at(*gates.begin()); + new_state->operations_on_group_allowed[new_group_id] = state->operations_on_group_allowed.at(group_id); + + new_state->gates_of_group[new_group_id].insert(gates.begin(), gates.end()); + for (const auto& sg : gates) + { + new_state->parent_group_of_gate[sg] = new_group_id; + } + } + else + { + std::map, std::unordered_set> characteristics_map; + for (auto gate : gates) + { + std::set characteristics_of_gate; + if (successors) + { + for (auto known_group_successors : state->netlist_abstr.gate_to_known_successor_groups.at(gate)) + { + characteristics_of_gate.insert(known_group_successors); + } + } + else + { + for (auto known_group_predecessors : state->netlist_abstr.gate_to_known_predecessor_groups.at(gate)) + { + characteristics_of_gate.insert(known_group_predecessors); + } + } + + characteristics_map[characteristics_of_gate].insert(gate); + } + + /* merge gates */ + for (auto gates_to_merge : characteristics_map) + { + u32 new_group_id = ++id_counter; + + new_state->group_control_fingerprint_map[new_group_id] = new_state->netlist_abstr.gate_to_fingerprint.at(*gates_to_merge.second.begin()); + new_state->operations_on_group_allowed[new_group_id] = state->operations_on_group_allowed.at(group_id); + + new_state->gates_of_group[new_group_id].insert(gates_to_merge.second.begin(), gates_to_merge.second.end()); + for (const auto& sg : gates_to_merge.second) + { + new_state->parent_group_of_gate[sg] = new_group_id; + } + } + } + } + + return new_state; + } + + } // namespace split_by_successor_predecessor_known_groups + } // namespace dataflow +} // namespace hal \ No newline at end of file diff --git a/plugins/dataflow_analysis/src/processing/processing.cpp b/plugins/dataflow_analysis/src/processing/processing.cpp index 5104d5d7863..aebce8f4dfd 100644 --- a/plugins/dataflow_analysis/src/processing/processing.cpp +++ b/plugins/dataflow_analysis/src/processing/processing.cpp @@ -115,7 +115,8 @@ namespace hal } } - std::vector, PassConfiguration>> generate_pass_combinations(Context& ctx, const Configuration& config, const std::shared_ptr& initial_grouping) + std::vector, PassConfiguration>> + generate_pass_combinations(Context& ctx, const Configuration& config, const std::shared_ptr& initial_grouping) { // create current layer of pass combinations; std::vector, PassConfiguration>> output; @@ -258,10 +259,15 @@ namespace hal } log_info("dataflow", " total: {} unique states", ctx.result.unique_groupings.size()); } - + return ctx.result; } + void clear() + { + pass_collection::clear(); + } + } // namespace processing - } // namespace dataflow + } // namespace dataflow } // namespace hal diff --git a/plugins/gate_libraries/.DS_Store b/plugins/gate_libraries/.DS_Store new file mode 100644 index 00000000000..22e60382df9 Binary files /dev/null and b/plugins/gate_libraries/.DS_Store differ diff --git a/plugins/gate_libraries/CMakeLists.txt b/plugins/gate_libraries/CMakeLists.txt index 8185ea2a77b..72692e2b3a6 100644 --- a/plugins/gate_libraries/CMakeLists.txt +++ b/plugins/gate_libraries/CMakeLists.txt @@ -3,17 +3,29 @@ option(PL_GATE_LIBRARIES "PL_GATE_LIBRARIES" ON) if(PL_GATE_LIBRARIES OR BUILD_ALL_PLUGINS) # Add the include directory to the include search path file(MAKE_DIRECTORY ${CMAKE_BINARY_DIR}/share/hal/gate_libraries/) - file(GLOB_RECURSE GATE_LIBS ${CMAKE_CURRENT_SOURCE_DIR}/definitions/*) + file(GLOB GATE_LIBS ${CMAKE_CURRENT_SOURCE_DIR}/definitions/*) message(STATUS "GATE_LIBS: ${GATE_LIBS}") foreach(file ${GATE_LIBS}) get_filename_component(f ${file} NAME) list(APPEND SOURCE_GATE_LIBS ${CMAKE_CURRENT_SOURCE_DIR}/definitions/${f}) list(APPEND DESTINATION_GATE_LIBS ${CMAKE_BINARY_DIR}/share/hal/gate_libraries/${f}) endforeach() + + + file(GLOB HELPER_GATE_LIBS ${CMAKE_CURRENT_SOURCE_DIR}/definitions/helper_libs/*) + message(STATUS "HELPER_GATE_LIBS: ${HELPER_GATE_LIBS}") + foreach(file ${HELPER_GATE_LIBS}) + get_filename_component(f ${file} NAME) + list(APPEND SOURCE_HELPER_GATE_LIBS ${CMAKE_CURRENT_SOURCE_DIR}/definitions/helper_libs/${f}) + list(APPEND DESTINATION_HELPER_GATE_LIBS ${CMAKE_BINARY_DIR}/share/hal/gate_libraries/${f}) + endforeach() + + add_custom_target(update_internal_gate_library_definitions ALL - BYPRODUCTS ${DESTINATION_GATE_LIBS} + BYPRODUCTS ${DESTINATION_GATE_LIBS} ${DESTINATION_HELPER_GATE_LIBS} COMMAND ${CMAKE_COMMAND} -E copy ${CMAKE_CURRENT_SOURCE_DIR}/definitions/* ${CMAKE_BINARY_DIR}/share/hal/gate_libraries/ - DEPENDS ${SOURCE_GATE_LIBS} + COMMAND ${CMAKE_COMMAND} -E copy ${CMAKE_CURRENT_SOURCE_DIR}/definitions/helper_libs/* ${CMAKE_BINARY_DIR}/share/hal/gate_libraries/ + DEPENDS ${SOURCE_GATE_LIBS} ${SOURCE_HELPER_GATE_LIBS} WORKING_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR} COMMENT "Update gate library definitions") endif() diff --git a/plugins/gate_libraries/definitions/.gitignore b/plugins/gate_libraries/definitions/.gitignore index 422d49d480c..dc801ef048e 100644 --- a/plugins/gate_libraries/definitions/.gitignore +++ b/plugins/gate_libraries/definitions/.gitignore @@ -7,3 +7,9 @@ !lsi_10k.hgl !NangateOpenCellLibrary.hgl !NanGate_15nm_OCL.hgl + +!ice40ultra_hal.hgl +!XILINX_UNISIM_hal.hgl + +!helper_libs* +!helper_libs/* \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/NanGate_15nm_OCL.hgl b/plugins/gate_libraries/definitions/NanGate_15nm_OCL.hgl index dc59c1c8e67..7bb00b6f710 100644 --- a/plugins/gate_libraries/definitions/NanGate_15nm_OCL.hgl +++ b/plugins/gate_libraries/definitions/NanGate_15nm_OCL.hgl @@ -3107,14 +3107,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "((S & I1) | ((! S) & I0))" } ] diff --git a/plugins/gate_libraries/definitions/NangateOpenCellLibrary.hgl b/plugins/gate_libraries/definitions/NangateOpenCellLibrary.hgl index 2f0f76d1cf6..8acdbfec9f6 100644 --- a/plugins/gate_libraries/definitions/NangateOpenCellLibrary.hgl +++ b/plugins/gate_libraries/definitions/NangateOpenCellLibrary.hgl @@ -6269,14 +6269,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "((S & B) | (A & (! S)))" } ] @@ -6363,14 +6363,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "((S & B) | (A & (! S)))" } ] diff --git a/plugins/gate_libraries/definitions/XILINX_SIMPRIM.hgl b/plugins/gate_libraries/definitions/XILINX_SIMPRIM.hgl index 6c672d08717..60d5b7be5ff 100644 --- a/plugins/gate_libraries/definitions/XILINX_SIMPRIM.hgl +++ b/plugins/gate_libraries/definitions/XILINX_SIMPRIM.hgl @@ -8103,14 +8103,14 @@ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "O", "direction": "output", - "type": "none" + "type": "data" } ] } @@ -8126,28 +8126,28 @@ { "name": "I0", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "I0", "direction": "input", - "type": "none" + "type": "data" } ] }, { "name": "I1", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "I1", "direction": "input", - "type": "none" + "type": "data" } ] }, @@ -8168,14 +8168,14 @@ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "O", "direction": "output", - "type": "none" + "type": "data" } ] } @@ -102331,28 +102331,28 @@ { "name": "IA", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "IA", "direction": "input", - "type": "none" + "type": "data" } ] }, { "name": "IB", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "IB", "direction": "input", - "type": "none" + "type": "data" } ] }, @@ -102373,14 +102373,14 @@ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "function": "(((! SEL) & IA) | (SEL & IB))" } ] diff --git a/plugins/gate_libraries/definitions/XILINX_UNISIM.hgl b/plugins/gate_libraries/definitions/XILINX_UNISIM.hgl index cfa0df6217d..c294c81753d 100644 --- a/plugins/gate_libraries/definitions/XILINX_UNISIM.hgl +++ b/plugins/gate_libraries/definitions/XILINX_UNISIM.hgl @@ -3430,14 +3430,14 @@ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & I0) | (S & I1))" } ] @@ -3496,14 +3496,14 @@ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & I0) | (S & I1))" } ] @@ -3562,14 +3562,14 @@ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & I0) | (S & I1))" } ] @@ -7913,28 +7913,28 @@ { "name": "I0", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "I0", "direction": "input", - "type": "none" + "type": "data" } ] }, { "name": "I1", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "I1", "direction": "input", - "type": "none" + "type": "data" } ] }, @@ -7955,14 +7955,14 @@ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & I0) | (S & I1))" } ] @@ -370571,7 +370571,7 @@ { "name": "CLKARDCLK", "direction": "input", - "type": "none" + "type": "clock" } ] }, @@ -370585,7 +370585,7 @@ { "name": "CLKBWRCLK", "direction": "input", - "type": "none" + "type": "clock" } ] }, @@ -370864,28 +370864,28 @@ { "name": "RSTRAMARSTRAM", "direction": "input", - "type": "none", + "type": "reset", "ascending": false, "start_index": 0, "pins": [ { "name": "RSTRAMARSTRAM", "direction": "input", - "type": "none" + "type": "reset" } ] }, { "name": "RSTRAMB", "direction": "input", - "type": "none", + "type": "reset", "ascending": false, "start_index": 0, "pins": [ { "name": "RSTRAMB", "direction": "input", - "type": "none" + "type": "reset" } ] }, @@ -375764,112 +375764,112 @@ { "name": "A0", "direction": "input", - "type": "none", + "type": "select", "ascending": false, "start_index": 0, "pins": [ { "name": "A0", "direction": "input", - "type": "none" + "type": "select" } ] }, { "name": "A1", "direction": "input", - "type": "none", + "type": "select", "ascending": false, "start_index": 0, "pins": [ { "name": "A1", "direction": "input", - "type": "none" + "type": "select" } ] }, { "name": "A2", "direction": "input", - "type": "none", + "type": "select", "ascending": false, "start_index": 0, "pins": [ { "name": "A2", "direction": "input", - "type": "none" + "type": "select" } ] }, { "name": "A3", "direction": "input", - "type": "none", + "type": "select", "ascending": false, "start_index": 0, "pins": [ { "name": "A3", "direction": "input", - "type": "none" + "type": "select" } ] }, { "name": "CE", "direction": "input", - "type": "none", + "type": "enable", "ascending": false, "start_index": 0, "pins": [ { "name": "CE", "direction": "input", - "type": "none" + "type": "enable" } ] }, { "name": "CLK", "direction": "input", - "type": "none", + "type": "clock", "ascending": false, "start_index": 0, "pins": [ { "name": "CLK", "direction": "input", - "type": "none" + "type": "clock" } ] }, { "name": "D", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "D", "direction": "input", - "type": "none" + "type": "data" } ] }, { "name": "Q", "direction": "output", - "type": "none", + "type": "state", "ascending": false, "start_index": 0, "pins": [ { "name": "Q", "direction": "output", - "type": "none" + "type": "state" } ] } @@ -473377,28 +473377,28 @@ { "name": "CLKARDCLK", "direction": "input", - "type": "none", + "type": "clock", "ascending": false, "start_index": 0, "pins": [ { "name": "CLKARDCLK", "direction": "input", - "type": "none" + "type": "clock" } ] }, { "name": "CLKBWRCLK", "direction": "input", - "type": "none", + "type": "clock", "ascending": false, "start_index": 0, "pins": [ { "name": "CLKBWRCLK", "direction": "input", - "type": "none" + "type": "clock" } ] }, @@ -662987,7 +662987,7 @@ { "name": "MMCME4_ADV", "types": [ - "combinational" + "sequential" ], "pin_groups": [ { @@ -666503,28 +666503,28 @@ { "name": "CI", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "CI", "direction": "input", - "type": "none" + "type": "data" } ] }, { "name": "DI", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "DI", "direction": "input", - "type": "none" + "type": "data" } ] }, @@ -666545,14 +666545,14 @@ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & DI) | (S & CI))" } ] @@ -666586,7 +666586,8 @@ { "name": "URAM288", "types": [ - "combinational" + "sequential", + "ram" ], "pin_groups": [ { @@ -673473,7 +673474,8 @@ { "name": "URAM288_BASE", "types": [ - "combinational" + "sequential", + "ram" ], "pin_groups": [ { @@ -731746,28 +731748,28 @@ { "name": "I0", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "I0", "direction": "input", - "type": "none" + "type": "data" } ] }, { "name": "I1", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "I1", "direction": "input", - "type": "none" + "type": "data" } ] }, @@ -731788,14 +731790,14 @@ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & I0) | (S & I1))" } ] @@ -766713,70 +766715,70 @@ { "name": "A0", "direction": "input", - "type": "none", + "type": "select", "ascending": false, "start_index": 0, "pins": [ { "name": "A0", "direction": "input", - "type": "none" + "type": "select" } ] }, { "name": "A1", "direction": "input", - "type": "none", + "type": "select", "ascending": false, "start_index": 0, "pins": [ { "name": "A1", "direction": "input", - "type": "none" + "type": "select" } ] }, { "name": "A2", "direction": "input", - "type": "none", + "type": "select", "ascending": false, "start_index": 0, "pins": [ { "name": "A2", "direction": "input", - "type": "none" + "type": "select" } ] }, { "name": "A3", "direction": "input", - "type": "none", + "type": "select", "ascending": false, "start_index": 0, "pins": [ { "name": "A3", "direction": "input", - "type": "none" + "type": "select" } ] }, { "name": "CE", "direction": "input", - "type": "none", + "type": "enable", "ascending": false, "start_index": 0, "pins": [ { "name": "CE", "direction": "input", - "type": "none" + "type": "enable" } ] }, @@ -766797,28 +766799,28 @@ { "name": "D", "direction": "input", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "D", "direction": "input", - "type": "none" + "type": "data" } ] }, { "name": "Q", "direction": "output", - "type": "none", + "type": "state", "ascending": false, "start_index": 0, "pins": [ { "name": "Q", "direction": "output", - "type": "none" + "type": "state" } ] } diff --git a/plugins/gate_libraries/definitions/XILINX_UNISIM_hal.hgl b/plugins/gate_libraries/definitions/XILINX_UNISIM_hal.hgl new file mode 100644 index 00000000000..2db110aa13d --- /dev/null +++ b/plugins/gate_libraries/definitions/XILINX_UNISIM_hal.hgl @@ -0,0 +1,773235 @@ +{ + "version": 3, + "library": "XILINX_UNISIM_WITH_HAL_TYPES", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_OR2", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | B)" + } + ] + } + ] + }, + { + "name": "HAL_XNOR2", + "types": [ + "combinational", + "c_xnor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ B))" + } + ] + } + ] + }, + { + "name": "HAL_XNOR3", + "types": [ + "combinational", + "c_xnor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ (B ^ C)))" + } + ] + } + ] + }, + { + "name": "HAL_XNOR4", + "types": [ + "combinational", + "c_xnor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ (B ^ (C ^ D))))" + } + ] + } + ] + }, + { + "name": "HAL_XOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B)" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + }, + { + "name": "HAL_MUX3", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1) | ((! S1) & ((B & S2) | (C & (! S2)))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX4", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & (S1 & S2)) | ((B & (S1 & (! S2))) | ((C & ((! S1) & S2)) | (D & ((! S1) & (! S2))))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX5", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E))"}] + } + ] + }, + { + "name": "HAL_MUX6", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F))"}] + } + ] + }, + { + "name": "HAL_MUX7", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "G", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "G", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F) | (!S1 & S2 & S3 & G))"}] + } + ] + }, + { + "name": "HAL_MUX8", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "G", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "G", "direction": "input", "type": "data"}] + }, + { + "name": "H", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "H", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F) | (!S1 & S2 & S3 & G) | (S1 & S2 & S3 & H))"}] + } + ] + }, + { + "name": "CARRY", + "types": [ + "combinational", + "c_carry" + ], + "pin_groups": [ + { + "name": "CI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CO", + "direction": "output", + "type": "none", + "function": "((I0 & I1) | ((I0 | I1) & CI))" + } + ] + } + ] + }, + { + "name": "HAL_XOR3", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ (B ^ C))" + } + ] + } + ] + }, + { + "name": "HAL_XOR4", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ (B ^ (C ^ D)))" + } + ] + } + ] + }, + { + "name": "AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(I0 & I1)" + } + ] + } + ] + }, + { + "name": "AND3", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(I0 & (I1 & I2))" + } + ] + } + ] + }, + { + "name": "AND4", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(I0 & (I1 & (I2 & I3)))" + } + ] + } + ] + }, + { + "name": "AND5", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(I0 & (I1 & (I2 & (I3 & I4))))" + } + ] + } + ] + }, + { + "name": "AND6", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(I0 & (I1 & (I2 & (I3 & (I4 & I5)))))" + } + ] + } + ] + }, + { + "name": "IOBUFDSE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "OSC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "OSC_EN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC_EN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "IOB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IOB", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "FIFO18E1", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DI(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DIP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ALMOSTEMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ALMOSTEMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ALMOSTFULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ALMOSTFULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DO(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DOP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RDCOUNT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "WRCOUNT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRERR", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "CARRY4", + "types": [ + "combinational", + "c_carry" + ], + "pin_groups": [ + { + "name": "CI", + "direction": "input", + "type": "carry", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CI", + "direction": "input", + "type": "carry" + } + ] + }, + { + "name": "CYINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CYINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "S(3)", + "direction": "input", + "type": "none" + }, + { + "name": "S(2)", + "direction": "input", + "type": "none" + }, + { + "name": "S(1)", + "direction": "input", + "type": "none" + }, + { + "name": "S(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CO", + "direction": "output", + "type": "carry", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CO(3)", + "direction": "output", + "type": "carry", + "function": "((S(3) & CO(2)) | ((! S(3)) & DI(3)))" + }, + { + "name": "CO(2)", + "direction": "output", + "type": "carry", + "function": "((S(2) & CO(1)) | ((! S(2)) & DI(2)))" + }, + { + "name": "CO(1)", + "direction": "output", + "type": "carry", + "function": "((S(1) & CO(0)) | ((! S(1)) & DI(1)))" + }, + { + "name": "CO(0)", + "direction": "output", + "type": "carry", + "function": "((S(0) & (CI | CYINIT)) | ((! S(0)) & DI(0)))" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "O(3)", + "direction": "output", + "type": "none", + "function": "(S(3) ^ CO(2))" + }, + { + "name": "O(2)", + "direction": "output", + "type": "none", + "function": "(S(2) ^ CO(1))" + }, + { + "name": "O(1)", + "direction": "output", + "type": "none", + "function": "(S(1) ^ CO(0))" + }, + { + "name": "O(0)", + "direction": "output", + "type": "none", + "function": "(S(0) ^ (CI | CYINIT))" + } + ] + } + ] + }, + { + "name": "BUFG_GT", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEMASK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEMASK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLRMASK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLRMASK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "DIV(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIV(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIV(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RX_BITSLICE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE_EXT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE_EXT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_EXT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_EXT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEIN_EXT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEIN_EXT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN_EXT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN_EXT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN_EXT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN_EXT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN_EXT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN_EXT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN_EXT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN_EXT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_VTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_VTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_VTC_EXT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_VTC_EXT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FIFO_RD_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_RD_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FIFO_RD_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_RD_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INC_EXT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INC_EXT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOAD_EXT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOAD_EXT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST_DLY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST_DLY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST_DLY_EXT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST_DLY_EXT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_IN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEOUT_EXT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEOUT_EXT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT_EXT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT_EXT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT_EXT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT_EXT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT_EXT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT_EXT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT_EXT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT_EXT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FIFO_EMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_EMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FIFO_WRCLK_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_WRCLK_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DCIRESET", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PHASER_IN_PHY", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BURSTPENDINGPHY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BURSTPENDINGPHY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERLOADEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COUNTERLOADEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERLOADVAL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "COUNTERLOADVAL(5)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(4)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERREADEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COUNTERREADEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENCALIBPHY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "ENCALIBPHY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ENCALIBPHY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FINEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FINEINC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEINC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FREQREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FREQREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MEMREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MEMREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHASEREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHASEREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RANKSELPHY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RANKSELPHY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RANKSELPHY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTDQSFIND", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTDQSFIND", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERREADVAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "COUNTERREADVAL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DQSFOUND", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DQSFOUND", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DQSOUTOFRANGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DQSOUTOFRANGE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FINEOVERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEOVERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ICLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ICLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ICLKDIV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ICLKDIV", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ISERDESRST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ISERDESRST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHASELOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHASELOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRENABLE", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "MUXF7", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(((! S) & I0) | (S & I1))" + } + ] + } + ] + }, + { + "name": "MUXF6", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(((! S) & I0) | (S & I1))" + } + ] + } + ] + }, + { + "name": "MUXF5", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(((! S) & I0) | (S & I1))" + } + ] + } + ] + }, + { + "name": "IBUFDS_GTE4", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ODIV2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ODIV2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DSP_M_DATA", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "CEM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "U", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "U(44)", + "direction": "input", + "type": "none" + }, + { + "name": "U(43)", + "direction": "input", + "type": "none" + }, + { + "name": "U(42)", + "direction": "input", + "type": "none" + }, + { + "name": "U(41)", + "direction": "input", + "type": "none" + }, + { + "name": "U(40)", + "direction": "input", + "type": "none" + }, + { + "name": "U(39)", + "direction": "input", + "type": "none" + }, + { + "name": "U(38)", + "direction": "input", + "type": "none" + }, + { + "name": "U(37)", + "direction": "input", + "type": "none" + }, + { + "name": "U(36)", + "direction": "input", + "type": "none" + }, + { + "name": "U(35)", + "direction": "input", + "type": "none" + }, + { + "name": "U(34)", + "direction": "input", + "type": "none" + }, + { + "name": "U(33)", + "direction": "input", + "type": "none" + }, + { + "name": "U(32)", + "direction": "input", + "type": "none" + }, + { + "name": "U(31)", + "direction": "input", + "type": "none" + }, + { + "name": "U(30)", + "direction": "input", + "type": "none" + }, + { + "name": "U(29)", + "direction": "input", + "type": "none" + }, + { + "name": "U(28)", + "direction": "input", + "type": "none" + }, + { + "name": "U(27)", + "direction": "input", + "type": "none" + }, + { + "name": "U(26)", + "direction": "input", + "type": "none" + }, + { + "name": "U(25)", + "direction": "input", + "type": "none" + }, + { + "name": "U(24)", + "direction": "input", + "type": "none" + }, + { + "name": "U(23)", + "direction": "input", + "type": "none" + }, + { + "name": "U(22)", + "direction": "input", + "type": "none" + }, + { + "name": "U(21)", + "direction": "input", + "type": "none" + }, + { + "name": "U(20)", + "direction": "input", + "type": "none" + }, + { + "name": "U(19)", + "direction": "input", + "type": "none" + }, + { + "name": "U(18)", + "direction": "input", + "type": "none" + }, + { + "name": "U(17)", + "direction": "input", + "type": "none" + }, + { + "name": "U(16)", + "direction": "input", + "type": "none" + }, + { + "name": "U(15)", + "direction": "input", + "type": "none" + }, + { + "name": "U(14)", + "direction": "input", + "type": "none" + }, + { + "name": "U(13)", + "direction": "input", + "type": "none" + }, + { + "name": "U(12)", + "direction": "input", + "type": "none" + }, + { + "name": "U(11)", + "direction": "input", + "type": "none" + }, + { + "name": "U(10)", + "direction": "input", + "type": "none" + }, + { + "name": "U(9)", + "direction": "input", + "type": "none" + }, + { + "name": "U(8)", + "direction": "input", + "type": "none" + }, + { + "name": "U(7)", + "direction": "input", + "type": "none" + }, + { + "name": "U(6)", + "direction": "input", + "type": "none" + }, + { + "name": "U(5)", + "direction": "input", + "type": "none" + }, + { + "name": "U(4)", + "direction": "input", + "type": "none" + }, + { + "name": "U(3)", + "direction": "input", + "type": "none" + }, + { + "name": "U(2)", + "direction": "input", + "type": "none" + }, + { + "name": "U(1)", + "direction": "input", + "type": "none" + }, + { + "name": "U(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "V", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "V(44)", + "direction": "input", + "type": "none" + }, + { + "name": "V(43)", + "direction": "input", + "type": "none" + }, + { + "name": "V(42)", + "direction": "input", + "type": "none" + }, + { + "name": "V(41)", + "direction": "input", + "type": "none" + }, + { + "name": "V(40)", + "direction": "input", + "type": "none" + }, + { + "name": "V(39)", + "direction": "input", + "type": "none" + }, + { + "name": "V(38)", + "direction": "input", + "type": "none" + }, + { + "name": "V(37)", + "direction": "input", + "type": "none" + }, + { + "name": "V(36)", + "direction": "input", + "type": "none" + }, + { + "name": "V(35)", + "direction": "input", + "type": "none" + }, + { + "name": "V(34)", + "direction": "input", + "type": "none" + }, + { + "name": "V(33)", + "direction": "input", + "type": "none" + }, + { + "name": "V(32)", + "direction": "input", + "type": "none" + }, + { + "name": "V(31)", + "direction": "input", + "type": "none" + }, + { + "name": "V(30)", + "direction": "input", + "type": "none" + }, + { + "name": "V(29)", + "direction": "input", + "type": "none" + }, + { + "name": "V(28)", + "direction": "input", + "type": "none" + }, + { + "name": "V(27)", + "direction": "input", + "type": "none" + }, + { + "name": "V(26)", + "direction": "input", + "type": "none" + }, + { + "name": "V(25)", + "direction": "input", + "type": "none" + }, + { + "name": "V(24)", + "direction": "input", + "type": "none" + }, + { + "name": "V(23)", + "direction": "input", + "type": "none" + }, + { + "name": "V(22)", + "direction": "input", + "type": "none" + }, + { + "name": "V(21)", + "direction": "input", + "type": "none" + }, + { + "name": "V(20)", + "direction": "input", + "type": "none" + }, + { + "name": "V(19)", + "direction": "input", + "type": "none" + }, + { + "name": "V(18)", + "direction": "input", + "type": "none" + }, + { + "name": "V(17)", + "direction": "input", + "type": "none" + }, + { + "name": "V(16)", + "direction": "input", + "type": "none" + }, + { + "name": "V(15)", + "direction": "input", + "type": "none" + }, + { + "name": "V(14)", + "direction": "input", + "type": "none" + }, + { + "name": "V(13)", + "direction": "input", + "type": "none" + }, + { + "name": "V(12)", + "direction": "input", + "type": "none" + }, + { + "name": "V(11)", + "direction": "input", + "type": "none" + }, + { + "name": "V(10)", + "direction": "input", + "type": "none" + }, + { + "name": "V(9)", + "direction": "input", + "type": "none" + }, + { + "name": "V(8)", + "direction": "input", + "type": "none" + }, + { + "name": "V(7)", + "direction": "input", + "type": "none" + }, + { + "name": "V(6)", + "direction": "input", + "type": "none" + }, + { + "name": "V(5)", + "direction": "input", + "type": "none" + }, + { + "name": "V(4)", + "direction": "input", + "type": "none" + }, + { + "name": "V(3)", + "direction": "input", + "type": "none" + }, + { + "name": "V(2)", + "direction": "input", + "type": "none" + }, + { + "name": "V(1)", + "direction": "input", + "type": "none" + }, + { + "name": "V(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "U_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "U_DATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "U_DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "V_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "V_DATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "V_DATA(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMD32", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMS32", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "ADR0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IDDRE1", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "Q1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMB36E2", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "ADDRARDADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "ADDRARDADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRBWRADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "ADDRBWRADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRENA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADDRENA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADDRENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDIMUXA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDIMUXA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDIMUXB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDIMUXB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDINA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CASDINA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDINB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CASDINB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDINPA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CASDINPA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINPA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINPA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINPA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDINPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CASDINPB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINPB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINPB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINPB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUXA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUXA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUXB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUXB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUXEN_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUXEN_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUXEN_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUXEN_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASINDBITERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASINDBITERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASINSBITERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASINSBITERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUXA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUXA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUXB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUXB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUXEN_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUXEN_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUXEN_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUXEN_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKARDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKARDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKBWRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKBWRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DINADIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DINADIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DINBDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DINBDIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DINPADINP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DINPADINP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DINPADINP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DINPADINP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DINPADINP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DINPBDINP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DINPBDINP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DINPBDINP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DINPBDINP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DINPBDINP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ECCPIPECE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ECCPIPECE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENARDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENARDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENBWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENBWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECTDBITERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECTDBITERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECTSBITERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECTSBITERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCEAREGCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCEAREGCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTRAMARSTRAM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTRAMARSTRAM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTRAMB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTRAMB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREGARSTREG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREGARSTREG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREGB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREGB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SLEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SLEEP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "WEA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEBWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "WEBWE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOUTA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CASDOUTA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASDOUTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CASDOUTB(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASDOUTPA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CASDOUTPA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTPA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTPA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTPA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASDOUTPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CASDOUTPB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTPB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTPB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTPB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASOUTDBITERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOUTDBITERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASOUTSBITERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOUTSBITERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBITERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBITERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUTADOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DOUTADOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUTBDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DOUTBDOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUTPADOUTP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DOUTPADOUTP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTPADOUTP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTPADOUTP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTPADOUTP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUTPBDOUTP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DOUTPBDOUTP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTPBDOUTP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTPBDOUTP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTPBDOUTP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ECCPARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "ECCPARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDADDRECC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "RDADDRECC(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBITERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBITERR", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IDELAYE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CASC_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASC_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASC_RETURN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASC_RETURN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_VTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_VTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IDATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IDATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASC_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASC_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUFDS", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "IOB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IOB", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "MUXF9", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(((! S) & I0) | (S & I1))" + } + ] + } + ] + }, + { + "name": "PHASER_OUT", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "COARSEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COARSEENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COARSEINC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COARSEINC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERLOADEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COUNTERLOADEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERREADEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COUNTERREADEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIVIDERST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIVIDERST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EDGEADV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EDGEADV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FINEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FINEINC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEINC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FREQREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FREQREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MEMREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MEMREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHASEREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHASEREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SELFINEOCLKDELAY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SELFINEOCLKDELAY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERLOADVAL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "COUNTERLOADVAL(8)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(7)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(6)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(5)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(4)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COARSEOVERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COARSEOVERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FINEOVERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEOVERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OCLKDELAYED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLKDELAYED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OCLKDIV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLKDIV", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OSERDESRST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OSERDESRST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "COUNTERREADVAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "COUNTERREADVAL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFDS_GTE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OSERDESE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDIV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D7", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D8", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D8", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TBYTEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TBYTEIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OFB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OFB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TBYTEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TBYTEOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TFB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TFB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TQ", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "HBM_TWO_STACK_INTF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "APB_0_PADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "APB_0_PADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PRESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PRESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PWDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "APB_0_PWDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PWRITE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PWRITE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_1_PADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "APB_1_PADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_1_PCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_1_PCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_1_PENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_1_PENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_1_PRESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_1_PRESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_1_PSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_1_PSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_1_PWDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "APB_1_PWDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_1_PWDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_1_PWRITE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_1_PWRITE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_00_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_00_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_00_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_00_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_00_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_00_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_00_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_00_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_00_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_00_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_00_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_01_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_01_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_01_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_01_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_01_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_01_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_01_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_01_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_01_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_01_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_01_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_02_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_02_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_02_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_02_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_02_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_02_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_02_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_02_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_02_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_02_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_02_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_03_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_03_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_03_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_03_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_03_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_03_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_03_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_03_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_03_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_03_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_03_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_04_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_04_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_04_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_04_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_04_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_04_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_04_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_04_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_04_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_04_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_04_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_05_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_05_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_05_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_05_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_05_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_05_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_05_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_05_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_05_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_05_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_05_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_06_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_06_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_06_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_06_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_06_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_06_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_06_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_06_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_06_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_06_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_06_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_07_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_07_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_07_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_07_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_07_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_07_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_07_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_07_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_07_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_07_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_07_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_08_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_08_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_08_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_08_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_08_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_08_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_08_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_08_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_08_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_08_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_08_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_09_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_09_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_09_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_09_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_09_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_09_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_09_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_09_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_09_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_09_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_09_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_10_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_10_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_10_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_10_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_10_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_10_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_10_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_10_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_10_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_10_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_10_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_11_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_11_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_11_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_11_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_11_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_11_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_11_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_11_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_11_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_11_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_11_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_12_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_12_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_12_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_12_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_12_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_12_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_12_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_12_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_12_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_12_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_12_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_13_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_13_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_13_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_13_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_13_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_13_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_13_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_13_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_13_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_13_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_13_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_14_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_14_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_14_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_14_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_14_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_14_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_14_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_14_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_14_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_14_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_14_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_15_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_15_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_15_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_15_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_15_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_15_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_15_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_15_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_15_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_15_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_15_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_16_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_16_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_16_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_16_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_16_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_16_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_16_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_16_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_16_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_16_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_16_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_16_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_16_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_16_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_16_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_17_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_17_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_17_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_17_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_17_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_17_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_17_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_17_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_17_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_17_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_17_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_17_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_17_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_17_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_17_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_18_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_18_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_18_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_18_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_18_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_18_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_18_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_18_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_18_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_18_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_18_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_18_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_18_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_18_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_18_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_19_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_19_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_19_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_19_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_19_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_19_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_19_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_19_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_19_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_19_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_19_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_19_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_19_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_19_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_19_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_20_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_20_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_20_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_20_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_20_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_20_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_20_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_20_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_20_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_20_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_20_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_20_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_20_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_20_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_20_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_21_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_21_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_21_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_21_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_21_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_21_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_21_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_21_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_21_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_21_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_21_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_21_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_21_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_21_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_21_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_22_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_22_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_22_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_22_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_22_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_22_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_22_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_22_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_22_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_22_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_22_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_22_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_22_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_22_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_22_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_23_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_23_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_23_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_23_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_23_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_23_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_23_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_23_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_23_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_23_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_23_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_23_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_23_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_23_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_23_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_24_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_24_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_24_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_24_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_24_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_24_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_24_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_24_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_24_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_24_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_24_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_24_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_24_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_24_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_24_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_25_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_25_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_25_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_25_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_25_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_25_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_25_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_25_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_25_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_25_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_25_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_25_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_25_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_25_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_25_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_26_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_26_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_26_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_26_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_26_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_26_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_26_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_26_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_26_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_26_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_26_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_26_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_26_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_26_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_26_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_27_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_27_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_27_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_27_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_27_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_27_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_27_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_27_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_27_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_27_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_27_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_27_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_27_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_27_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_27_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_28_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_28_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_28_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_28_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_28_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_28_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_28_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_28_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_28_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_28_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_28_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_28_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_28_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_28_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_28_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_29_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_29_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_29_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_29_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_29_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_29_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_29_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_29_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_29_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_29_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_29_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_29_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_29_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_29_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_29_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_30_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_30_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_30_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_30_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_30_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_30_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_30_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_30_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_30_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_30_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_30_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_30_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_30_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_30_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_30_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_31_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_31_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_31_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_31_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_31_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_31_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_31_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_31_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_31_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_31_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_31_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_31_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_31_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_31_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_31_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BSCAN_DRCK_0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BSCAN_DRCK_0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BSCAN_DRCK_1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BSCAN_DRCK_1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BSCAN_TCK_0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BSCAN_TCK_0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BSCAN_TCK_1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BSCAN_TCK_1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "HBM_REF_CLK_0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "HBM_REF_CLK_0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "HBM_REF_CLK_1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "HBM_REF_CLK_1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_02", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_02", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_03", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_03", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_04", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_04", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_05", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_05", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_06", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_06", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_07", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_07", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_08", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_08", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_09", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_09", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_12", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_12", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_13", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_13", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_14", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_14", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_15", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_15", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PRDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "APB_0_PRDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "APB_0_PREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "APB_0_PSLVERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PSLVERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "APB_1_PRDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "APB_1_PRDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_1_PRDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "APB_1_PREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_1_PREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "APB_1_PSLVERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_1_PSLVERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_00_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_00_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_00_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_00_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_00_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_00_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_01_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_01_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_01_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_01_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_02_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_02_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_02_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_02_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_02_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_02_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_03_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_03_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_03_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_03_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_04_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_04_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_04_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_04_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_04_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_04_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_05_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_05_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_05_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_05_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_06_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_06_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_06_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_06_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_06_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_06_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_07_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_07_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_07_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_07_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_08_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_08_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_08_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_08_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_08_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_08_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_09_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_09_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_09_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_09_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_10_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_10_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_10_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_10_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_10_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_10_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_11_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_11_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_11_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_11_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_12_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_12_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_12_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_12_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_12_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_12_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_13_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_13_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_13_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_13_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_14_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_14_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_14_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_14_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_14_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_14_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_15_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_15_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_15_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_15_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_16_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_16_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_16_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_16_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_16_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_16_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_16_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_16_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_16_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_16_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_16_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_16_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_16_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_16_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_16_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_17_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_17_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_17_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_17_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_17_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_17_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_17_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_17_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_17_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_17_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_17_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_17_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_17_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_18_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_18_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_18_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_18_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_18_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_18_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_18_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_18_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_18_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_18_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_18_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_18_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_18_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_18_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_18_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_19_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_19_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_19_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_19_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_19_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_19_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_19_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_19_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_19_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_19_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_19_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_19_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_19_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_20_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_20_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_20_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_20_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_20_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_20_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_20_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_20_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_20_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_20_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_20_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_20_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_20_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_20_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_20_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_21_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_21_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_21_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_21_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_21_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_21_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_21_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_21_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_21_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_21_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_21_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_21_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_21_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_22_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_22_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_22_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_22_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_22_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_22_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_22_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_22_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_22_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_22_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_22_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_22_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_22_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_22_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_22_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_23_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_23_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_23_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_23_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_23_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_23_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_23_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_23_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_23_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_23_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_23_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_23_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_23_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_24_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_24_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_24_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_24_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_24_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_24_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_24_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_24_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_24_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_24_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_24_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_24_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_24_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_24_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_24_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_25_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_25_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_25_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_25_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_25_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_25_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_25_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_25_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_25_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_25_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_25_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_25_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_25_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_26_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_26_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_26_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_26_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_26_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_26_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_26_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_26_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_26_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_26_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_26_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_26_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_26_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_26_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_26_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_27_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_27_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_27_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_27_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_27_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_27_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_27_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_27_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_27_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_27_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_27_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_27_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_27_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_28_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_28_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_28_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_28_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_28_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_28_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_28_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_28_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_28_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_28_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_28_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_28_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_28_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_28_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_28_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_29_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_29_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_29_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_29_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_29_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_29_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_29_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_29_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_29_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_29_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_29_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_29_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_29_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_30_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_30_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_30_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_30_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_30_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_30_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_30_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_30_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_30_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_30_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_30_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_30_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_30_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_30_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_30_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_31_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_31_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_31_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_31_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_31_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_31_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_31_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_31_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_31_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_31_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_31_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_31_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_31_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRAM_0_STAT_CATTRIP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRAM_0_STAT_CATTRIP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRAM_0_STAT_TEMP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "DRAM_0_STAT_TEMP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRAM_0_STAT_TEMP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRAM_0_STAT_TEMP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRAM_1_STAT_CATTRIP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRAM_1_STAT_CATTRIP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRAM_1_STAT_TEMP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "DRAM_1_STAT_TEMP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRAM_1_STAT_TEMP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRAM_1_STAT_TEMP(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "LUT6_2", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O5", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O5", + "direction": "output", + "type": "lut" + } + ] + }, + { + "name": "O6", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O6", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "PCIE40E4", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "AXIUSERIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXIUSERIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGCONFIGSPACEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCONFIGSPACEENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVIDPF0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVIDPF0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVIDPF1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVIDPF1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVIDPF2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVIDPF2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVIDPF3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVIDPF3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSBUSNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGDSBUSNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSDEVICENUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGDSDEVICENUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGDSFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CFGDSN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSPORTNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGDSPORTNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRCORIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCORIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRUNCORIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRUNCORIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGEXTREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADDATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTREADDATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFCSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGFCSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFCSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFCSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFLRDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGFLRDONE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFLRDONE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFLRDONE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFLRDONE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGHOTRESETIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGHOTRESETIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTINT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIATTR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGINTERRUPTMSIATTR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIATTR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIATTR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIINT(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSISELECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSISELECT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSISELECT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHPRESENT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHPRESENT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHSTTAG(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXADDRESS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CFGINTERRUPTMSIXADDRESS(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXINT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXVECPENDING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSIXVECPENDING(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVECPENDING(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTPENDING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTPENDING(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTPENDING(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTPENDING(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTPENDING(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGLINKTRAININGENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKTRAININGENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "CFGMGMTADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTBYTEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGMGMTBYTEENABLE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTDEBUGACCESS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTDEBUGACCESS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGMGMTFUNCTIONNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTREAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRITE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTWRITE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRITEDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMGMTWRITEDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGTRANSMIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMSGTRANSMITDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGMSGTRANSMITTYPE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSIXRAMREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "CFGMSIXRAMREADDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMASPML1ENTRYREJECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMASPML1ENTRYREJECT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMASPMTXL0SENTRYDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMASPMTXL0SENTRYDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPOWERSTATECHANGEACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPOWERSTATECHANGEACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREQPMTRANSITIONL23READY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGREQPMTRANSITIONL23READY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVIDPF0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVIDPF0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVIDPF1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVIDPF1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVIDPF2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVIDPF2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVIDPF3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVIDPF3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSIDPF0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSIDPF0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSIDPF1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSIDPF1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSIDPF2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSIDPF2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSIDPF3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSIDPF3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSVENDID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSVENDID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGTPHRAMREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "CFGTPHRAMREADDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVENDID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGVENDID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVFFLRDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGVFFLRDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVFFLRFUNCNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGVFFLRFUNCNUM(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPREQUESTBYCONF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPREQUESTBYCONF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CONFREQDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQREGNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CONFREQREGNUM(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQREGNUM(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQREGNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQREGNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CONFREQTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFREQVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIREPLAYRAM0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIREPLAYRAM0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIREPLAYRAM1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIREPLAYRAM1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIRXCOMPLETIONRAM0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIRXCOMPLETIONRAM0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIRXCOMPLETIONRAM1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIRXCOMPLETIONRAM1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIRXPOSTEDREQUESTRAM0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIRXPOSTEDREQUESTRAM0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIRXPOSTEDREQUESTRAM1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIRXPOSTEDREQUESTRAM1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DBGSEL0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "DBGSEL0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DBGSEL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "DBGSEL1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRPADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "MAXISCQTREADY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "MAXISRCTREADY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCAPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCAPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCAPPERST0B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCAPPERST0B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCAPPERST1B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCAPPERST1B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MGMTRESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MGMTRESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MGMTSTICKYRESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MGMTSTICKYRESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMERRCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "MIREPLAYRAMERRCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMERRUNCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "MIREPLAYRAMERRUNCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRUNCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRUNCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRUNCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRUNCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRUNCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADDATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MIREPLAYRAMREADDATA0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADDATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MIREPLAYRAMREADDATA1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMERRCOR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADDATA0(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADDATA1(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECOMPLDELIVERED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIECOMPLDELIVERED(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVERED(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECOMPLDELIVEREDTAG0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PCIECOMPLDELIVEREDTAG0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECOMPLDELIVEREDTAG1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PCIECOMPLDELIVEREDTAG1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECQNPREQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIECQNPREQ(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECQNPREQ(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECQNPUSERCREDITRCVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIECQNPUSERCREDITRCVD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECQPIPELINEEMPTY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIECQPIPELINEEMPTY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIEPOSTEDREQDELIVERED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEPOSTEDREQDELIVERED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPECLKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPECLKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPEEQFS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPEEQFS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPEEQLF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPEEQLF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX00CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX00DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX00STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX00STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX00SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX01CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX01DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX01STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX01STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX01SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX02CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX02DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX02STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX02STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX02SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX03CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX03DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX03STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX03STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX03SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX04CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX04DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX04STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX04STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX04SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX05CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX05DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX05STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX05STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX05SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX06CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX06DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX06STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX06STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX06SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX07CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX07DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX07STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX07STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX07SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX08CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX08DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX08STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX08STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX08SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX09CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX09DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX09STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX09STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX09SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX10CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX10DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX10STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX10STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX10SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX11CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX11DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX11STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX11STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX11SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX12CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX12DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX12STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX12STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX12SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX13CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX13DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX13STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX13STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX13SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX14CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX14DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX14STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX14STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX14SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX15CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX15DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX15STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX15STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX15SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX00EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX00EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX00EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX00EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX01EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX01EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX01EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX01EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX02EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX02EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX02EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX02EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX03EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX03EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX03EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX03EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX04EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX04EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX04EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX04EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX05EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX05EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX05EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX05EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX06EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX06EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX06EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX06EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX07EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX07EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX07EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX07EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX08EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX08EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX08EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX08EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX09EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX09EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX09EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX09EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX10EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX10EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX10EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX10EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX11EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX11EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX11EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX11EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX12EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX12EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX12EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX12EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX13EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX13EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX13EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX13EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX14EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX14EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX14EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX14EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX15EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX15EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX15EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX15EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLEQRESETEIEOSCOUNT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLEQRESETEIEOSCOUNT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLGEN2UPSTREAMPREFERDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLGEN2UPSTREAMPREFERDEEMPH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLGEN34REDOEQSPEED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLGEN34REDOEQSPEED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLGEN34REDOEQUALIZATION", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLGEN34REDOEQUALIZATION", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "SAXISCCTDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTKEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXISCCTKEEP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISCCTLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 32, + "pins": [ + { + "name": "SAXISCCTUSER(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISCCTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "SAXISRQTDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTKEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXISRQTKEEP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISRQTLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 61, + "pins": [ + { + "name": "SAXISRQTUSER(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISRQTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERCLKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERCLKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERSPAREIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "USERSPAREIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXIUSEROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXIUSEROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGBUSNUMBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGBUSNUMBER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGCURRENTSPEED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGCURRENTSPEED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGCURRENTSPEED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRCOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCOROUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRFATALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRFATALOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRNONFATALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRNONFATALOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTFUNCTIONNUMBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGEXTFUNCTIONNUMBER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTREADRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREGISTERNUMBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "CFGEXTREGISTERNUMBER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITEBYTEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGEXTWRITEBYTEENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGEXTWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITERECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTWRITERECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCCPLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCCPLD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCCPLH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCCPLH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCNPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCNPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCNPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCNPH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCPH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFLRINPROCESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGFLRINPROCESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFLRINPROCESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFLRINPROCESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFLRINPROCESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFUNCTIONPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFUNCTIONPOWERSTATE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFUNCTIONSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGFUNCTIONSTATUS(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGHOTRESETOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGHOTRESETOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIFAIL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIFAIL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIMASKUPDATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIMASKUPDATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIMMENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGINTERRUPTMSIMMENABLE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSISENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSISENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIXENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIXMASK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXVECPENDINGSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXVECPENDINGSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTSENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTSENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGLINKPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLINKPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLOCALERROROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGLOCALERROROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLOCALERROROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLOCALERROROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLOCALERROROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLOCALERROROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLOCALERRORVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLOCALERRORVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLTRENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLTRENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLTSSMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGLTSSMSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMAXPAYLOAD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGMAXPAYLOAD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXPAYLOAD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMAXREADREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGMAXREADREQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXREADREQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXREADREQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREADDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMGMTREADDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREADWRITEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTREADWRITEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGMSGRECEIVEDDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDTYPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGMSGRECEIVEDTYPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGTRANSMITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSIXRAMADDRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "CFGMSIXRAMADDRESS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSIXRAMREADENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSIXRAMREADENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSIXRAMWRITEBYTEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGMSIXRAMWRITEBYTEENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEBYTEENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEBYTEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEBYTEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSIXRAMWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "CFGMSIXRAMWRITEDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGNEGOTIATEDWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGNEGOTIATEDWIDTH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGNEGOTIATEDWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGNEGOTIATEDWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGOBFFENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGOBFFENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGOBFFENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPHYLINKDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPHYLINKDOWN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPHYLINKSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGPHYLINKSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPHYLINKSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPLSTATUSCHANGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPLSTATUSCHANGE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPOWERSTATECHANGEINTERRUPT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPOWERSTATECHANGEINTERRUPT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGRCBSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGRCBSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRCBSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRCBSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRCBSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGRXPMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGRXPMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRXPMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHRAMADDRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGTPHRAMADDRESS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHRAMREADENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTPHRAMREADENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHRAMWRITEBYTEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGTPHRAMWRITEBYTEENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEBYTEENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEBYTEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEBYTEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHRAMWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "CFGTPHRAMWRITEDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHREQUESTERENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGTPHREQUESTERENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHREQUESTERENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHREQUESTERENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHREQUESTERENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGTPHSTMODE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTXPMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGTXPMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTXPMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPDESIGNSWITCH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPDESIGNSWITCH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPEOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPEOS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPINUSEBYPCIE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPINUSEBYPCIE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFREQREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFREQREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFRESPRDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CONFRESPRDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFRESPVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFRESPVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGCTRL0OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DBGCTRL0OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGCTRL1OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DBGCTRL1OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGDATA0OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DBGDATA0OUT(255)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(254)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(253)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(252)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(251)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(250)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(249)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(248)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(247)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(246)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(245)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(244)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(243)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(242)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(241)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(240)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(239)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(238)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(237)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(236)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(235)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(234)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(233)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(232)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(231)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(230)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(229)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(228)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(227)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(226)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(225)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(224)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(223)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(222)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(221)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(220)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(219)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(218)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(217)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(216)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(215)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(214)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(213)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(212)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(211)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(210)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(209)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(208)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(207)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(206)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(205)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(204)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(203)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(202)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(201)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(200)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(199)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(198)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(197)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(196)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(195)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(194)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(193)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(192)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(191)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(190)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(189)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(188)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(187)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(186)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(185)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(184)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(183)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(182)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(181)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(180)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(179)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(178)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(177)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(176)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(175)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(174)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(173)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(172)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(171)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(170)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(169)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(168)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(167)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(166)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(165)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(164)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(163)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(162)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(161)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(160)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(159)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(158)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(157)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(156)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(155)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(154)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(153)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(152)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(151)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(150)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(149)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(148)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(147)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(146)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(145)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(144)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(143)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(142)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(141)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(140)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(139)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(138)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(137)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(136)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(135)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(134)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(133)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(132)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(131)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(130)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(129)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(128)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGDATA1OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DBGDATA1OUT(255)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(254)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(253)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(252)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(251)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(250)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(249)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(248)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(247)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(246)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(245)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(244)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(243)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(242)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(241)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(240)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(239)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(238)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(237)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(236)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(235)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(234)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(233)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(232)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(231)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(230)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(229)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(228)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(227)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(226)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(225)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(224)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(223)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(222)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(221)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(220)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(219)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(218)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(217)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(216)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(215)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(214)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(213)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(212)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(211)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(210)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(209)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(208)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(207)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(206)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(205)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(204)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(203)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(202)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(201)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(200)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(199)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(198)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(197)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(196)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(195)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(194)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(193)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(192)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(191)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(190)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(189)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(188)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(187)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(186)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(185)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(184)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(183)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(182)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(181)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(180)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(179)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(178)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(177)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(176)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(175)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(174)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(173)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(172)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(171)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(170)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(169)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(168)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(167)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(166)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(165)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(164)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(163)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(162)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(161)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(160)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(159)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(158)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(157)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(156)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(155)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(154)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(153)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(152)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(151)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(150)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(149)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(148)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(147)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(146)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(145)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(144)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(143)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(142)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(141)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(140)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(139)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(138)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(137)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(136)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(135)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(134)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(133)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(132)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(131)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(130)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(129)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(128)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "MAXISCQTDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTKEEP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXISCQTKEEP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISCQTLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 87, + "pins": [ + { + "name": "MAXISCQTUSER(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISCQTVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "MAXISRCTDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTKEEP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXISRCTKEEP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISRCTLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 74, + "pins": [ + { + "name": "MAXISRCTUSER(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISRCTVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMADDRESS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREPLAYRAMADDRESS0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMADDRESS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREPLAYRAMADDRESS1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIREPLAYRAMREADENABLE0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIREPLAYRAMREADENABLE1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEDATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MIREPLAYRAMWRITEDATA0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEDATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MIREPLAYRAMWRITEDATA1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIREPLAYRAMWRITEENABLE0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIREPLAYRAMWRITEENABLE1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADENABLE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADENABLE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADENABLE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADENABLE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADENABLE0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADENABLE1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEENABLE0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEENABLE1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIECQNPREQCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PCIECQNPREQCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEPERST0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEPERST0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEPERST1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEPERST1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUM0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PCIERQSEQNUM0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUM1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PCIERQSEQNUM1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUMVLD0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQSEQNUMVLD0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUMVLD1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQSEQNUMVLD1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAG0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PCIERQTAG0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAG1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PCIERQTAG1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAGAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PCIERQTAGAV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAGAV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAGAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAGAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAGVLD0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQTAGVLD0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAGVLD1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQTAGVLD1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIETFCNPDAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PCIETFCNPDAV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPDAV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPDAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPDAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIETFCNPHAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PCIETFCNPHAV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPHAV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPHAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPHAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX00EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX00EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX00EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX00POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX01EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX01EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX01EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX01POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX02EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX02EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX02EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX02POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX03EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX03EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX03EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX03POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX04EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX04EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX04EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX04POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX05EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX05EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX05EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX05POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX06EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX06EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX06EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX06POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX07EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX07EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX07EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX07POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX08EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX08EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX08EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX08POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX09EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX09EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX09EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX09POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX10EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX10EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX10EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX10POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX11EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX11EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX11EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX11POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX12EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX12EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX12EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX12POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX13EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX13EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX13EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX13POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX14EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX14EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX14EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX14POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX15EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX15EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX15EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX15POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERXEQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERXEQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERXEQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERXEQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX00CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX00COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX00DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX00DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX00ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX00EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX00EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX00POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX00STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX00SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX01CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX01COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX01DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX01DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX01ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX01EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX01EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX01POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX01STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX01SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX02CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX02COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX02DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX02DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX02ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX02EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX02EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX02POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX02STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX02SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX03CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX03COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX03DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX03DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX03ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX03EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX03EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX03POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX03STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX03SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX04CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX04COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX04DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX04DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX04ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX04EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX04EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX04POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX04STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX04SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX05CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX05COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX05DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX05DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX05ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX05EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX05EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX05POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX05STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX05SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX06CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX06COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX06DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX06DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX06ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX06EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX06EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX06POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX06STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX06SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX07CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX07COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX07DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX07DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX07ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX07EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX07EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX07POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX07STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX07SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX08CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX08COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX08DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX08DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX08ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX08EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX08EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX08POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX08STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX08SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX09CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX09COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX09DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX09DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX09ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX09EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX09EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX09POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX09STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX09SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX10CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX10COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX10DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX10DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX10ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX10EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX10EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX10POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX10STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX10SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX11CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX11COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX11DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX11DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX11ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX11EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX11EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX11POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX11STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX11SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX12CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX12COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX12DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX12DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX12ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX12EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX12EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX12POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX12STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX12SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX13CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX13COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX13DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX13DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX13ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX13EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX13EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX13POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX13STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX13SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX14CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX14COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX14DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX14DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX14ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX14EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX14EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX14POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX14STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX14SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX15CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX15COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX15DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX15DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX15ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX15EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX15EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX15POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX15STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX15SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXDEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXMARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETXMARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXMARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXMARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETXRATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXRATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXRCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXRESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXSWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXSWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLEQINPROGRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLEQINPROGRESS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLEQPHASE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLEQPHASE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLEQPHASE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLGEN34EQMISMATCH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLGEN34EQMISMATCH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXISCCTREADY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXISRQTREADY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "USERSPAREOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "USERSPAREOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTPE2_COMMON", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGPDB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGPDB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTEASTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTEASTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTEASTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTEASTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTWESTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTWESTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTWESTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTWESTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL0LOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0LOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL0LOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0LOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL0PD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0PD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL0RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL1LOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1LOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL1LOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1LOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL1PD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1PD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL1RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RCALENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCALENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLLRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PLLRSVD1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL0REFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PLL0REFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLL0REFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLL0REFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL1REFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PLL1REFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLL1REFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLL1REFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "BGRCALOVRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLLRSVD2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PLLRSVD2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLRSVD2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL0FBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0FBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL0LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0LOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL0OUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0OUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL0OUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0OUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL0REFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0REFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL1FBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1FBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL1LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1LOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL1OUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1OUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL1OUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1OUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL1REFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1REFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PMARSVDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DMONITOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "LDCE", + "types": [ + "sequential", + "latch" + ], + "latch_config": { + "state": "IQ", + "neg_state": "IQN", + "data_in": "D", + "enable_on": "GE" + }, + "pin_groups": [ + { + "name": "CLR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "G", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "G", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "MMCME2_ADV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKINSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKINSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSINCDEC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSINCDEC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFBSTOPPED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBSTOPPED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKINSTOPPED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKINSTOPPED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSDONE", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMS64E1", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "ADR0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR7", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR8", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR8", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "FIFO36E1", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "DI(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DIP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECTDBITERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECTDBITERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECTSBITERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECTSBITERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ALMOSTEMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ALMOSTEMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ALMOSTFULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ALMOSTFULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBITERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBITERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "DO(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DOP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ECCPARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "ECCPARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "RDCOUNT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBITERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBITERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "WRCOUNT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRERR", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DCM_ADV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSINCDEC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSINCDEC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK180", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK180", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK270", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK270", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK2X180", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK2X180", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK2X", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK2X", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK90", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK90", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKDV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDV", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFX180", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFX180", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "FDPE", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((D & CE) | (IQ & (! CE)))", + "clocked_on": "C", + "preset_on": "PRE" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "PRE", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PRE", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "FDP", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "D", + "clocked_on": "C", + "preset_on": "PRE" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "PRE", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PRE", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "LD", + "types": [ + "sequential", + "latch" + ], + "latch_config": { + "state": "IQ", + "neg_state": "IQN", + "data_in": "D", + "enable_on": "G" + }, + "pin_groups": [ + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "G", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "G", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "LUT6", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "RAM64M", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "ADDRA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRC(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOA", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOD", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "OSC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OSC_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VREF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VREF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFGCE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ILKN", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CORE_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORE_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_FORCE_RESYNC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_FORCE_RESYNC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_ACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_ACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_ERRIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_ERRIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_FORCE_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_FORCE_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_RESET_MODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_RESET_MODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_DIAGWORD_INTFSTAT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_DIAGWORD_INTFSTAT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CTL_TX_DIAGWORD_LANESTAT(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_ERRINJ_BITERR_GO", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_ERRINJ_BITERR_GO", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_ERRINJ_BITERR_LANE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CTL_TX_ERRINJ_BITERR_LANE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_ERRINJ_BITERR_LANE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_ERRINJ_BITERR_LANE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_ERRINJ_BITERR_LANE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_FC_STAT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "CTL_TX_FC_STAT(255)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(254)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(253)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(252)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(251)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(250)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(249)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(248)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(247)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(246)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(245)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(244)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(243)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(242)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(241)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(240)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(239)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(238)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(237)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(236)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(235)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(234)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(233)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(232)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(231)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(230)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(229)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(228)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(227)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(226)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(225)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(224)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(223)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(222)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(221)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(220)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(219)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(218)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(217)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(216)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(215)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(214)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(213)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(212)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(211)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(210)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(209)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(208)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(207)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(206)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(205)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(204)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(203)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(202)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(201)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(200)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(199)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(198)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(197)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(196)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(195)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(194)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(193)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(192)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(191)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(190)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(189)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(188)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(187)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(186)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(185)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(184)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(183)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(182)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(181)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(180)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(179)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(178)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(177)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(176)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(175)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(174)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(173)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(172)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(171)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(170)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(169)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(168)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(167)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(166)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(165)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(164)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(163)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(162)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(161)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(160)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(159)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(158)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(157)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(156)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(155)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(154)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(153)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(152)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(151)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(150)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(149)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(148)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(147)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(146)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(145)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(144)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(143)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(142)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(141)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(140)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(139)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(138)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(137)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(136)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(135)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(134)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(133)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(132)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(131)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(130)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(129)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(128)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(127)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(126)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(125)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(124)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(123)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(122)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(121)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(120)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(119)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(118)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(117)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(116)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(115)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(114)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(113)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(112)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(111)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(110)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(109)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(108)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(107)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(106)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(105)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(104)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(103)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(102)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(101)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(100)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(99)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(98)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(97)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(96)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(95)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(94)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(93)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(92)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(91)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(90)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(89)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(88)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(87)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(86)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(85)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(84)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(83)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(82)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(81)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(80)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(79)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(78)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(77)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(76)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(75)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(74)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(73)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(72)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_MUBITS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CTL_TX_MUBITS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RETRANS_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RETRANS_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RETRANS_RAM_PERRIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RETRANS_RAM_PERRIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 643, + "pins": [ + { + "name": "CTL_TX_RETRANS_RAM_RDATA(643)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(642)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(641)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(640)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(639)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(638)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(637)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(636)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(635)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(634)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(633)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(632)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(631)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(630)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(629)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(628)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(627)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(626)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(625)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(624)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(623)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(622)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(621)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(620)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(619)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(618)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(617)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(616)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(615)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(614)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(613)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(612)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(611)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(610)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(609)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(608)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(607)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(606)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(605)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(604)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(603)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(602)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(601)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(600)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(599)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(598)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(597)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(596)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(595)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(594)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(593)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(592)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(591)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(590)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(589)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(588)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(587)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(586)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(585)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(584)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(583)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(582)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(581)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(580)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(579)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(578)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(577)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(576)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(575)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(574)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(573)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(572)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(571)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(570)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(569)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(568)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(567)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(566)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(565)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(564)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(563)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(562)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(561)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(560)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(559)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(558)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(557)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(556)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(555)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(554)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(553)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(552)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(551)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(550)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(549)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(548)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(547)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(546)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(545)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(544)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(543)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(542)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(541)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(540)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(539)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(538)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(537)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(536)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(535)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(534)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(533)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(532)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(531)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(530)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(529)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(528)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(527)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(526)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(525)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(524)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(523)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(522)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(521)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(520)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(519)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(518)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(517)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(516)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(515)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(514)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(513)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(512)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(511)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(510)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(509)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(508)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(507)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(506)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(505)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(504)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(503)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(502)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(501)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(500)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(499)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(498)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(497)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(496)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(495)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(494)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(493)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(492)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(491)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(490)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(489)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(488)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(487)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(486)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(485)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(484)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(483)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(482)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(481)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(480)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(479)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(478)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(477)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(476)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(475)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(474)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(473)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(472)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(471)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(470)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(469)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(468)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(467)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(466)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(465)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(464)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(463)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(462)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(461)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(460)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(459)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(458)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(457)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(456)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(455)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(454)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(453)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(452)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(451)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(450)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(449)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(448)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(447)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(446)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(445)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(444)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(443)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(442)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(441)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(440)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(439)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(438)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(437)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(436)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(435)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(434)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(433)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(432)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(431)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(430)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(429)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(428)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(427)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(426)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(425)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(424)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(423)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(422)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(421)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(420)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(419)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(418)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(417)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(416)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(415)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(414)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(413)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(412)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(411)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(410)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(409)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(408)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(407)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(406)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(405)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(404)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(403)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(402)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(401)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(400)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(399)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(398)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(397)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(396)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(395)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(394)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(393)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(392)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(391)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(390)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(389)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(388)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(387)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(386)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(385)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(384)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(383)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(382)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(381)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(380)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(379)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(378)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(377)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(376)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(375)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(374)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(373)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(372)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(371)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(370)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(369)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(368)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(367)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(366)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(365)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(364)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(363)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(362)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(361)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(360)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(359)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(358)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(357)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(356)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(355)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(354)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(353)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(352)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(351)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(350)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(349)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(348)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(347)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(346)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(345)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(344)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(343)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(342)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(341)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(340)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(339)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(338)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(337)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(336)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(335)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(334)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(333)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(332)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(331)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(330)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(329)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(328)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(327)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(326)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(325)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(324)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(323)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(322)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(321)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(320)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(319)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(318)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(317)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(316)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(315)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(314)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(313)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(312)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(311)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(310)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(309)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(308)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(307)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(306)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(305)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(304)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(303)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(302)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(301)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(300)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(299)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(298)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(297)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(296)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(295)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(294)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(293)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(292)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(291)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(290)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(289)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(288)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(287)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(286)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(285)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(284)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(283)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(282)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(281)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(280)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(279)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(278)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(277)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(276)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(275)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(274)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(273)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(272)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(271)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(270)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(269)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(268)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(267)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(266)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(265)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(264)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(263)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(262)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(261)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(260)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(259)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(258)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(257)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(256)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RETRANS_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RETRANS_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RETRANS_REQ_VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RETRANS_REQ_VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RLIM_DELTA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CTL_TX_RLIM_DELTA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RLIM_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RLIM_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RLIM_INTV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CTL_TX_RLIM_INTV(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RLIM_MAX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CTL_TX_RLIM_MAX(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_ADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRP_ADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRP_DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LBUS_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LBUS_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_FORCE_REALIGNIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_BYPASS_FORCE_REALIGNIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_RDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_BYPASS_RDIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_SERDES_CLK(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA00(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA01(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA02", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA02(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA03", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA03(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA04", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA04(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA05", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA05(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA06", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA06(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA07", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA07(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA08", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA08(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA09", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA09(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA10(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA11(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_SERDES_RESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BCTLIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_BCTLIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BCTLIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_BCTLIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BCTLIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_BCTLIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BCTLIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_BCTLIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_CTRLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "TX_BYPASS_CTRLIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN00(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN01(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN02", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN02(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN03", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN03(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN04", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN04(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN05", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN05(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN06", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN06(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN07", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN07(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN08", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN08(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN09", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN09(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN10(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN11(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_ENAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_BYPASS_ENAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TX_BYPASS_GEARBOX_SEQIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_MFRAMER_STATEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_BYPASS_MFRAMER_STATEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_MFRAMER_STATEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_MFRAMER_STATEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_MFRAMER_STATEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CHANIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "TX_CHANIN0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CHANIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "TX_CHANIN1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CHANIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "TX_CHANIN2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CHANIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "TX_CHANIN3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN2(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN3(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SERDES_REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_REFCLK_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SERDES_REFCLK_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRP_DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRP_RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_RDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT00", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT00(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT01", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT01(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT02", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT02(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT03", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT03(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT04", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT04(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT05", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT05(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT06", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT06(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT07", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT07(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT08", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT08(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT09", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT09(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT10(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT11(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_ENAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_ENAOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_IS_AVAILOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_IS_AVAILOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_IS_SYNCEDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_CHANOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "RX_CHANOUT0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_CHANOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "RX_CHANOUT1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_CHANOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "RX_CHANOUT2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_CHANOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "RX_CHANOUT3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT2(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT3(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OVFOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_OVFOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_ALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_ALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_ALIGNED_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_ALIGNED_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BAD_TYPE_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_BAD_TYPE_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BURSTMAX_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BURSTMAX_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BURST_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BURST_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_CRC24_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_CRC24_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_CRC32_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_CRC32_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_CRC32_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_CRC32_VALID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_DESCRAM_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_DESCRAM_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_DIAGWORD_LANESTAT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FC_STAT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "STAT_RX_FC_STAT(255)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(254)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(253)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(252)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(251)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(250)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(249)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(248)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(247)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(246)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(245)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(244)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(243)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(242)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(241)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(240)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(239)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(238)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(237)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(236)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(235)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(234)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(233)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(232)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(231)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(230)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(229)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(228)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(227)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(226)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(225)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(224)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(223)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(222)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(221)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(220)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(219)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(218)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(217)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(216)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(215)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(214)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(213)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(212)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(211)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(210)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(209)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(208)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(207)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(206)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(205)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(204)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(203)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(202)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(201)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(200)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(199)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(198)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(197)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(196)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(195)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(194)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(193)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(192)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(191)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(190)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(189)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(188)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(187)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(186)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(185)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(184)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(183)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(182)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(181)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(180)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(179)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(178)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(177)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(176)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(175)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(174)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(173)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(172)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(171)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(170)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(169)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(168)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(167)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(166)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(165)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(164)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(163)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(162)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(161)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(160)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(159)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(158)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(157)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(156)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(155)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(154)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(153)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(152)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(151)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(150)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(149)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(148)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(147)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(146)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(145)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(144)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(143)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(142)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(141)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(140)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(139)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(138)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(137)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(136)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(135)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(134)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(133)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(132)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(131)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(130)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(129)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(128)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(127)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(126)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(125)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(124)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(123)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(122)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(121)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(120)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(119)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(118)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(117)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(116)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(115)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(114)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(113)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(112)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(111)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(110)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(109)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(108)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(107)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(106)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(105)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(104)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(103)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(102)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(101)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(100)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(99)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(98)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(97)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(96)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(95)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(94)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MEOP_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MEOP_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_MF_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_LEN_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_MF_LEN_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_REPEAT_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_MF_REPEAT_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MSOP_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MSOP_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MUBITS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "STAT_RX_MUBITS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MUBITS_UPDATED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MUBITS_UPDATED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_OVERFLOW_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_OVERFLOW_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_CRC24_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_CRC24_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_DISC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_DISC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_LATENCY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_RETRANS_LATENCY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_RETRY_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_RETRY_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_SEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "STAT_RX_RETRANS_SEQ(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_SEQ_UPDATED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_SEQ_UPDATED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_RETRANS_STATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_STATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_STATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_SUBSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_RETRANS_SUBSEQ(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SUBSEQ(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SUBSEQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SUBSEQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SUBSEQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_WDOG_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_WDOG_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_WRAP_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_WRAP_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_SYNCED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_SYNCED(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_SYNCED_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_SYNCED_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_WORD_SYNC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_WORD_SYNC(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_BURST_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_BURST_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_ERRINJ_BITERR_DONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_ERRINJ_BITERR_DONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_OVERFLOW_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_OVERFLOW_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_BURST_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_BURST_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_BUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_BUSY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_PERROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_PERROUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RD_B0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RD_B0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RD_B1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RD_B1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RD_B2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RD_B2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RD_B3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RD_B3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RSEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RSEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RSEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 643, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WDATA(643)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(642)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(641)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(640)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(639)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(638)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(637)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(636)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(635)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(634)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(633)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(632)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(631)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(630)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(629)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(628)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(627)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(626)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(625)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(624)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(623)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(622)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(621)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(620)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(619)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(618)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(617)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(616)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(615)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(614)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(613)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(612)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(611)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(610)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(609)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(608)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(607)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(606)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(605)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(604)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(603)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(602)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(601)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(600)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(599)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(598)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(597)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(596)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(595)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(594)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(593)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(592)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(591)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(590)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(589)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(588)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(587)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(586)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(585)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(584)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(583)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(582)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(581)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(580)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(579)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(578)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(577)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(576)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(575)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(574)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(573)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(572)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(571)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(570)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(569)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(568)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(567)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(566)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(565)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(564)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(563)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(562)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(561)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(560)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(559)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(558)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(557)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(556)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(555)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(554)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(553)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(552)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(551)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(550)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(549)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(548)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(547)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(546)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(545)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(544)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(543)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(542)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(541)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(540)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(539)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(538)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(537)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(536)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(535)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(534)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(533)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(532)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(531)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(530)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(529)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(528)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(527)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(526)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(525)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(524)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(523)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(522)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(521)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(520)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(519)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(518)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(517)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(516)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(515)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(514)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(513)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(512)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(511)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(510)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(509)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(508)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(507)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(506)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(505)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(504)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(503)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(502)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(501)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(500)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(499)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(498)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(497)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(496)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(495)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(494)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(493)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(492)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(491)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(490)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(489)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(488)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(487)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(486)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(485)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(484)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(483)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(482)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(481)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(480)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(479)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(478)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(477)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(476)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(475)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(474)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(473)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(472)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(471)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(470)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(469)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(468)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(467)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(466)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(465)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(464)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(463)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(462)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(461)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(460)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(459)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(458)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(457)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(456)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(455)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(454)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(453)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(452)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(451)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(450)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(449)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(448)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(447)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(446)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(445)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(444)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(443)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(442)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(441)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(440)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(439)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(438)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(437)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(436)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(435)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(434)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(433)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(432)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(431)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(430)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(429)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(428)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(427)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(426)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(425)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(424)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(423)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(422)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(421)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(420)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(419)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(418)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(417)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(416)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(415)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(414)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(413)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(412)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(411)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(410)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(409)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(408)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(407)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(406)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(405)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(404)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(403)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(402)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(401)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(400)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(399)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(398)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(397)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(396)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(395)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(394)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(393)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(392)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(391)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(390)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(389)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(388)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(387)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(386)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(385)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(384)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(383)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(382)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(381)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(380)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(379)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(378)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(377)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(376)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(375)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(374)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(373)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(372)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(371)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(370)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(369)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(368)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(367)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(366)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(365)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(364)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(363)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(362)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(361)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(360)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(359)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(358)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(357)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(356)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(355)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(354)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(353)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(352)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(351)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(350)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(349)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(348)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(347)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(346)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(345)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(344)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(343)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(342)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(341)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(340)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(339)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(338)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(337)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(336)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(335)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(334)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(333)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(332)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(331)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(330)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(329)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(328)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(327)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(326)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(325)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(324)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(323)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(322)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(321)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(320)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(319)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(318)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(317)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(316)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(315)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(314)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(313)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(312)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(311)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(310)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(309)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(308)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(307)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(306)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(305)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(304)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(303)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(302)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(301)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(300)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(299)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(298)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(297)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(296)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(295)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(294)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(293)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(292)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(291)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(290)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(289)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(288)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(287)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(286)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(285)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(284)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(283)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(282)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(281)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(280)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(279)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(278)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(277)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(276)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(275)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(274)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(273)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(272)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(271)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(270)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(269)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(268)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(267)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(266)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(265)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(264)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(263)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(262)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(261)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(260)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(259)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(258)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(257)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(256)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WE_B0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WE_B0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WE_B1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WE_B1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WE_B2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WE_B2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WE_B3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WE_B3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_UNDERFLOW_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_UNDERFLOW_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_OVFOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_OVFOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_RDYOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_RDYOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA00", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA00(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA01", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA01(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA02", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA02(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA03", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA03(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA04", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA04(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA05", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA05(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA06", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA06(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA07", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA07(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA08", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA08(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA09", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA09(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA10(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA11(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "HBM_SNGLBLI_INTF_APB", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "PADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "PADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PRESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PRESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PWDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PWDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRITE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRITE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CATTRIP_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CATTRIP_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PRDATA_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PRDATA_PIPE(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PRDATA_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PREADY_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PREADY_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSLVERR_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSLVERR_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TEMP_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TEMP_PIPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TEMP_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TEMP_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PHASER_OUT_PHY", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BURSTPENDINGPHY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BURSTPENDINGPHY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COARSEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COARSEENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COARSEINC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COARSEINC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERLOADEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COUNTERLOADEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERREADEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COUNTERREADEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FINEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FINEINC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEINC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FREQREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FREQREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MEMREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MEMREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHASEREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHASEREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SELFINEOCLKDELAY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SELFINEOCLKDELAY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENCALIBPHY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "ENCALIBPHY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ENCALIBPHY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERLOADVAL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "COUNTERLOADVAL(8)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(7)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(6)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(5)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(4)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COARSEOVERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COARSEOVERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FINEOVERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEOVERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OCLKDELAYED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLKDELAYED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OCLKDIV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLKDIV", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OSERDESRST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OSERDESRST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CTSBUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CTSBUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CTSBUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DQSBUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DQSBUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DQSBUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DTSBUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DTSBUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DTSBUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "COUNTERREADVAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "COUNTERREADVAL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "SYSMONE4", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CONVST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONVST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONVSTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONVSTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2C_SCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2C_SCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2C_SDA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2C_SDA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VAUXN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "VAUXN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VAUXP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "VAUXP(15)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(14)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(13)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(12)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(11)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(10)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(9)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(8)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADC_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "ADC_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ADC_DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ALM", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "ALM(15)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(14)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(13)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(12)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(11)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(10)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(9)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(8)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BUSY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CHANNEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CHANNEL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EOC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EOC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EOS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "I2C_SCLK_TS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2C_SCLK_TS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "I2C_SDA_TS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2C_SDA_TS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "JTAGBUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "JTAGBUSY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "JTAGLOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "JTAGLOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "JTAGMODIFIED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "JTAGMODIFIED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MUXADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "MUXADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SMBALERT_TS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SMBALERT_TS", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DSP_MULTIPLIER", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "A2A1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "A2A1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A2A1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AD_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "AD_DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AD_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B2B1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B2B1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "B2B1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AMULT26", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AMULT26", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BMULT17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BMULT17", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "U", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "U(44)", + "direction": "output", + "type": "none" + }, + { + "name": "U(43)", + "direction": "output", + "type": "none" + }, + { + "name": "U(42)", + "direction": "output", + "type": "none" + }, + { + "name": "U(41)", + "direction": "output", + "type": "none" + }, + { + "name": "U(40)", + "direction": "output", + "type": "none" + }, + { + "name": "U(39)", + "direction": "output", + "type": "none" + }, + { + "name": "U(38)", + "direction": "output", + "type": "none" + }, + { + "name": "U(37)", + "direction": "output", + "type": "none" + }, + { + "name": "U(36)", + "direction": "output", + "type": "none" + }, + { + "name": "U(35)", + "direction": "output", + "type": "none" + }, + { + "name": "U(34)", + "direction": "output", + "type": "none" + }, + { + "name": "U(33)", + "direction": "output", + "type": "none" + }, + { + "name": "U(32)", + "direction": "output", + "type": "none" + }, + { + "name": "U(31)", + "direction": "output", + "type": "none" + }, + { + "name": "U(30)", + "direction": "output", + "type": "none" + }, + { + "name": "U(29)", + "direction": "output", + "type": "none" + }, + { + "name": "U(28)", + "direction": "output", + "type": "none" + }, + { + "name": "U(27)", + "direction": "output", + "type": "none" + }, + { + "name": "U(26)", + "direction": "output", + "type": "none" + }, + { + "name": "U(25)", + "direction": "output", + "type": "none" + }, + { + "name": "U(24)", + "direction": "output", + "type": "none" + }, + { + "name": "U(23)", + "direction": "output", + "type": "none" + }, + { + "name": "U(22)", + "direction": "output", + "type": "none" + }, + { + "name": "U(21)", + "direction": "output", + "type": "none" + }, + { + "name": "U(20)", + "direction": "output", + "type": "none" + }, + { + "name": "U(19)", + "direction": "output", + "type": "none" + }, + { + "name": "U(18)", + "direction": "output", + "type": "none" + }, + { + "name": "U(17)", + "direction": "output", + "type": "none" + }, + { + "name": "U(16)", + "direction": "output", + "type": "none" + }, + { + "name": "U(15)", + "direction": "output", + "type": "none" + }, + { + "name": "U(14)", + "direction": "output", + "type": "none" + }, + { + "name": "U(13)", + "direction": "output", + "type": "none" + }, + { + "name": "U(12)", + "direction": "output", + "type": "none" + }, + { + "name": "U(11)", + "direction": "output", + "type": "none" + }, + { + "name": "U(10)", + "direction": "output", + "type": "none" + }, + { + "name": "U(9)", + "direction": "output", + "type": "none" + }, + { + "name": "U(8)", + "direction": "output", + "type": "none" + }, + { + "name": "U(7)", + "direction": "output", + "type": "none" + }, + { + "name": "U(6)", + "direction": "output", + "type": "none" + }, + { + "name": "U(5)", + "direction": "output", + "type": "none" + }, + { + "name": "U(4)", + "direction": "output", + "type": "none" + }, + { + "name": "U(3)", + "direction": "output", + "type": "none" + }, + { + "name": "U(2)", + "direction": "output", + "type": "none" + }, + { + "name": "U(1)", + "direction": "output", + "type": "none" + }, + { + "name": "U(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "V", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "V(44)", + "direction": "output", + "type": "none" + }, + { + "name": "V(43)", + "direction": "output", + "type": "none" + }, + { + "name": "V(42)", + "direction": "output", + "type": "none" + }, + { + "name": "V(41)", + "direction": "output", + "type": "none" + }, + { + "name": "V(40)", + "direction": "output", + "type": "none" + }, + { + "name": "V(39)", + "direction": "output", + "type": "none" + }, + { + "name": "V(38)", + "direction": "output", + "type": "none" + }, + { + "name": "V(37)", + "direction": "output", + "type": "none" + }, + { + "name": "V(36)", + "direction": "output", + "type": "none" + }, + { + "name": "V(35)", + "direction": "output", + "type": "none" + }, + { + "name": "V(34)", + "direction": "output", + "type": "none" + }, + { + "name": "V(33)", + "direction": "output", + "type": "none" + }, + { + "name": "V(32)", + "direction": "output", + "type": "none" + }, + { + "name": "V(31)", + "direction": "output", + "type": "none" + }, + { + "name": "V(30)", + "direction": "output", + "type": "none" + }, + { + "name": "V(29)", + "direction": "output", + "type": "none" + }, + { + "name": "V(28)", + "direction": "output", + "type": "none" + }, + { + "name": "V(27)", + "direction": "output", + "type": "none" + }, + { + "name": "V(26)", + "direction": "output", + "type": "none" + }, + { + "name": "V(25)", + "direction": "output", + "type": "none" + }, + { + "name": "V(24)", + "direction": "output", + "type": "none" + }, + { + "name": "V(23)", + "direction": "output", + "type": "none" + }, + { + "name": "V(22)", + "direction": "output", + "type": "none" + }, + { + "name": "V(21)", + "direction": "output", + "type": "none" + }, + { + "name": "V(20)", + "direction": "output", + "type": "none" + }, + { + "name": "V(19)", + "direction": "output", + "type": "none" + }, + { + "name": "V(18)", + "direction": "output", + "type": "none" + }, + { + "name": "V(17)", + "direction": "output", + "type": "none" + }, + { + "name": "V(16)", + "direction": "output", + "type": "none" + }, + { + "name": "V(15)", + "direction": "output", + "type": "none" + }, + { + "name": "V(14)", + "direction": "output", + "type": "none" + }, + { + "name": "V(13)", + "direction": "output", + "type": "none" + }, + { + "name": "V(12)", + "direction": "output", + "type": "none" + }, + { + "name": "V(11)", + "direction": "output", + "type": "none" + }, + { + "name": "V(10)", + "direction": "output", + "type": "none" + }, + { + "name": "V(9)", + "direction": "output", + "type": "none" + }, + { + "name": "V(8)", + "direction": "output", + "type": "none" + }, + { + "name": "V(7)", + "direction": "output", + "type": "none" + }, + { + "name": "V(6)", + "direction": "output", + "type": "none" + }, + { + "name": "V(5)", + "direction": "output", + "type": "none" + }, + { + "name": "V(4)", + "direction": "output", + "type": "none" + }, + { + "name": "V(3)", + "direction": "output", + "type": "none" + }, + { + "name": "V(2)", + "direction": "output", + "type": "none" + }, + { + "name": "V(1)", + "direction": "output", + "type": "none" + }, + { + "name": "V(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RXTX_BITSLICE", + "types": [ + "sequential" + ], + "pin_groups": [ + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FIFO_RD_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_RD_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FIFO_RD_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_RD_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_IN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "RX_CNTVALUEIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_CNTVALUEIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_CNTVALUEIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_CNTVALUEIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_EN_VTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EN_VTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_LOAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_LOAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_RST_DLY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_RST_DLY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TBYTE_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TBYTE_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "TX_CNTVALUEIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CNTVALUEIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CNTVALUEIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CNTVALUEIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EN_VTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EN_VTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_LOAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_LOAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_RST_DLY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_RST_DLY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FIFO_EMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_EMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FIFO_WRCLK_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_WRCLK_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "RX_CNTVALUEOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CNTVALUEOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CNTVALUEOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CNTVALUEOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "TX_CNTVALUEOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_CNTVALUEOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_CNTVALUEOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_CNTVALUEOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "T_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T_OUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMD32M64", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PCIE4CE4", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "AXIUSERIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXIUSERIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXIUSERIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CCIXOPTIMIZEDTLPTXANDRXENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCIXOPTIMIZEDTLPTXANDRXENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CCIXRXCORRECTABLEERRORDETECTED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCIXRXCORRECTABLEERRORDETECTED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CCIXRXFIFOOVERFLOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCIXRXFIFOOVERFLOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CCIXRXTLPFORWARDED0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCIXRXTLPFORWARDED0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CCIXRXTLPFORWARDED1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCIXRXTLPFORWARDED1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CCIXRXTLPFORWARDEDLENGTH0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CCIXRXTLPFORWARDEDLENGTH1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CCIXRXTLPFORWARDEDLENGTH1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CCIXRXUNCORRECTABLEERRORDETECTED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCIXRXUNCORRECTABLEERRORDETECTED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGCONFIGSPACEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCONFIGSPACEENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVIDPF0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVIDPF0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVIDPF1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVIDPF1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVIDPF2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVIDPF2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVIDPF3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVIDPF3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVIDPF3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSBUSNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGDSBUSNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSDEVICENUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGDSDEVICENUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGDSFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CFGDSN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSPORTNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGDSPORTNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRCORIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCORIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRUNCORIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRUNCORIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGEXTREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADDATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTREADDATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFCSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGFCSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFCSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFCSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFCVCSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGFCVCSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFLRDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGFLRDONE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFLRDONE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFLRDONE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFLRDONE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGHOTRESETIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGHOTRESETIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTINT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIATTR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGINTERRUPTMSIATTR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIATTR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIATTR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIINT(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSISELECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSISELECT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSISELECT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHPRESENT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHPRESENT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHSTTAG(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXADDRESS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CFGINTERRUPTMSIXADDRESS(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXINT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXVECPENDING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSIXVECPENDING(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVECPENDING(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTPENDING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTPENDING(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTPENDING(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTPENDING(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTPENDING(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGLINKTRAININGENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKTRAININGENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "CFGMGMTADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTBYTEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGMGMTBYTEENABLE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTDEBUGACCESS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTDEBUGACCESS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGMGMTFUNCTIONNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTREAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRITE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTWRITE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRITEDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMGMTWRITEDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGTRANSMIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMSGTRANSMITDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGMSGTRANSMITTYPE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSIXRAMREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "CFGMSIXRAMREADDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSIXRAMREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMASPML1ENTRYREJECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMASPML1ENTRYREJECT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMASPMTXL0SENTRYDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMASPMTXL0SENTRYDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPOWERSTATECHANGEACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPOWERSTATECHANGEACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREQPMTRANSITIONL23READY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGREQPMTRANSITIONL23READY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVIDPF0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVIDPF0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVIDPF1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVIDPF1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVIDPF2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVIDPF2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVIDPF3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVIDPF3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVIDPF3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSIDPF0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSIDPF0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSIDPF1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSIDPF1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSIDPF2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSIDPF2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSIDPF3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSIDPF3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSIDPF3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSVENDID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSVENDID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGTPHRAMREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "CFGTPHRAMREADDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHRAMREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVENDID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGVENDID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVFFLRDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGVFFLRDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVFFLRFUNCNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGVFFLRFUNCNUM(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRFUNCNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPREQUESTBYCONF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPREQUESTBYCONF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CONFREQDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQREGNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CONFREQREGNUM(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQREGNUM(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQREGNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQREGNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CONFREQTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFREQVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKCCIX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKCCIX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIREPLAYRAM0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIREPLAYRAM0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIREPLAYRAM1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIREPLAYRAM1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIRXCOMPLETIONRAM0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIRXCOMPLETIONRAM0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIRXCOMPLETIONRAM1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIRXCOMPLETIONRAM1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIRXPOSTEDREQUESTRAM0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIRXPOSTEDREQUESTRAM0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIRXPOSTEDREQUESTRAM1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIRXPOSTEDREQUESTRAM1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DBGSEL0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "DBGSEL0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DBGSEL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "DBGSEL1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGSEL1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRPADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "MAXISCQTREADY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "MAXISRCTREADY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCAPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCAPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCAPPERST0B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCAPPERST0B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCAPPERST1B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCAPPERST1B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MGMTRESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MGMTRESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MGMTSTICKYRESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MGMTSTICKYRESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMERRCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "MIREPLAYRAMERRCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMERRUNCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "MIREPLAYRAMERRUNCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRUNCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRUNCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRUNCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRUNCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMERRUNCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADDATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MIREPLAYRAMREADDATA0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADDATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MIREPLAYRAMREADDATA1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMERRCOR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMERRUNCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADDATA0(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADDATA1(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADDATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMERRUNCOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADDATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECOMPLDELIVERED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIECOMPLDELIVERED(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVERED(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECOMPLDELIVEREDTAG0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PCIECOMPLDELIVEREDTAG0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECOMPLDELIVEREDTAG1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PCIECOMPLDELIVEREDTAG1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECOMPLDELIVEREDTAG1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECQNPREQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIECQNPREQ(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIECQNPREQ(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECQNPUSERCREDITRCVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIECQNPUSERCREDITRCVD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECQPIPELINEEMPTY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIECQPIPELINEEMPTY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIEPOSTEDREQDELIVERED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEPOSTEDREQDELIVERED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPECLKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPECLKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPEEQFS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPEEQFS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPEEQLF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPEEQLF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX00CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX00DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX00STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX00STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX00SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX00SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX00VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX01CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX01DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX01STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX01STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX01SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX01SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX01VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX02CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX02DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX02STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX02STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX02SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX02SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX02VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX03CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX03DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX03STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX03STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX03SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX03SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX03VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX04CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX04DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX04STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX04STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX04SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX04SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX04VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX05CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX05DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX05STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX05STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX05SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX05SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX05VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX06CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX06DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX06STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX06STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX06SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX06SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX06VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX07CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX07DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX07STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX07STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX07SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX07SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX07VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX08CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX08DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX08STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX08STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX08SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX08SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX08VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX09CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX09DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX09STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX09STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX09SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX09SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX09VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX10CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX10DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX10STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX10STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX10SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX10SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX10VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX11CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX11DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX11STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX11STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX11SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX11SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX11VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX12CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX12DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX12STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX12STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX12SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX12SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX12VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX13CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX13DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX13STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX13STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX13SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX13SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX13VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX14CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX14DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX14STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX14STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX14SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX14SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX14VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX15CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX15DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX15STARTBLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15STARTBLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX15STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX15SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX15SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX15VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX00EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX00EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX00EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX00EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX00EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX01EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX01EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX01EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX01EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX01EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX02EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX02EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX02EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX02EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX02EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX03EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX03EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX03EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX03EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX03EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX04EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX04EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX04EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX04EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX04EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX05EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX05EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX05EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX05EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX05EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX06EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX06EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX06EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX06EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX06EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX07EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX07EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX07EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX07EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX07EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX08EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX08EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX08EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX08EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX08EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX09EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX09EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX09EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX09EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX09EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX10EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX10EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX10EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX10EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX10EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX11EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX11EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX11EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX11EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX11EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX12EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX12EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX12EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX12EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX12EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX13EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX13EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX13EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX13EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX13EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX14EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX14EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX14EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX14EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX14EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX15EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX15EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX15EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX15EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX15EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLEQRESETEIEOSCOUNT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLEQRESETEIEOSCOUNT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLGEN2UPSTREAMPREFERDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLGEN2UPSTREAMPREFERDEEMPH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLGEN34REDOEQSPEED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLGEN34REDOEQSPEED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLGEN34REDOEQUALIZATION", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLGEN34REDOEQUALIZATION", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCIXTXTDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "SAXISCCIXTXTDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCIXTXTUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 45, + "pins": [ + { + "name": "SAXISCCIXTXTUSER(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCIXTXTUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCIXTXTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISCCIXTXTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "SAXISCCTDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTKEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXISCCTKEEP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISCCTLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 32, + "pins": [ + { + "name": "SAXISCCTUSER(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISCCTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "SAXISRQTDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTKEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXISRQTKEEP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISRQTLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 61, + "pins": [ + { + "name": "SAXISRQTUSER(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISRQTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERCLKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERCLKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERSPAREIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "USERSPAREIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "USERSPAREIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXIUSEROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXIUSEROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXIUSEROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CCIXTXCREDIT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCIXTXCREDIT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGBUSNUMBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGBUSNUMBER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGBUSNUMBER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGCURRENTSPEED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGCURRENTSPEED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGCURRENTSPEED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRCOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCOROUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRFATALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRFATALOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRNONFATALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRNONFATALOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTFUNCTIONNUMBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGEXTFUNCTIONNUMBER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTREADRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREGISTERNUMBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "CFGEXTREGISTERNUMBER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITEBYTEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGEXTWRITEBYTEENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGEXTWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITERECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTWRITERECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCCPLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCCPLD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCCPLH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCCPLH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCNPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCNPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCNPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCNPH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCPH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFLRINPROCESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGFLRINPROCESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFLRINPROCESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFLRINPROCESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFLRINPROCESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFUNCTIONPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFUNCTIONPOWERSTATE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFUNCTIONSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGFUNCTIONSTATUS(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGHOTRESETOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGHOTRESETOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIFAIL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIFAIL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIMASKUPDATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIMASKUPDATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIMMENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGINTERRUPTMSIMMENABLE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSISENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSISENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIXENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIXMASK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXVECPENDINGSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXVECPENDINGSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTSENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTSENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGLINKPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLINKPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLOCALERROROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGLOCALERROROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLOCALERROROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLOCALERROROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLOCALERROROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLOCALERROROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLOCALERRORVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLOCALERRORVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLTRENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLTRENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLTSSMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGLTSSMSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMAXPAYLOAD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGMAXPAYLOAD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXPAYLOAD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMAXREADREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGMAXREADREQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXREADREQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXREADREQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREADDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMGMTREADDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREADWRITEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTREADWRITEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGMSGRECEIVEDDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDTYPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGMSGRECEIVEDTYPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGTRANSMITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSIXRAMADDRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "CFGMSIXRAMADDRESS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMADDRESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSIXRAMREADENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSIXRAMREADENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSIXRAMWRITEBYTEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGMSIXRAMWRITEBYTEENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEBYTEENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEBYTEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEBYTEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSIXRAMWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "CFGMSIXRAMWRITEDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSIXRAMWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGNEGOTIATEDWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGNEGOTIATEDWIDTH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGNEGOTIATEDWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGNEGOTIATEDWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGOBFFENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGOBFFENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGOBFFENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPHYLINKDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPHYLINKDOWN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPHYLINKSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGPHYLINKSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPHYLINKSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPLSTATUSCHANGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPLSTATUSCHANGE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPOWERSTATECHANGEINTERRUPT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPOWERSTATECHANGEINTERRUPT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGRCBSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGRCBSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRCBSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRCBSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRCBSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGRXPMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGRXPMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRXPMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHRAMADDRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGTPHRAMADDRESS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMADDRESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHRAMREADENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTPHRAMREADENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHRAMWRITEBYTEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGTPHRAMWRITEBYTEENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEBYTEENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEBYTEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEBYTEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHRAMWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "CFGTPHRAMWRITEDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHRAMWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHREQUESTERENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGTPHREQUESTERENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHREQUESTERENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHREQUESTERENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHREQUESTERENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGTPHSTMODE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTXPMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGTXPMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTXPMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVC1ENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGVC1ENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVC1NEGOTIATIONPENDING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGVC1NEGOTIATIONPENDING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPDESIGNSWITCH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPDESIGNSWITCH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPEOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPEOS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPINUSEBYPCIE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPINUSEBYPCIE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFREQREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFREQREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFRESPRDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CONFRESPRDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFRESPVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFRESPVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGCCIXOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 129, + "pins": [ + { + "name": "DBGCCIXOUT(129)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(128)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCCIXOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGCTRL0OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DBGCTRL0OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL0OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGCTRL1OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DBGCTRL1OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGCTRL1OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGDATA0OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DBGDATA0OUT(255)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(254)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(253)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(252)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(251)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(250)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(249)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(248)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(247)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(246)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(245)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(244)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(243)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(242)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(241)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(240)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(239)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(238)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(237)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(236)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(235)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(234)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(233)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(232)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(231)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(230)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(229)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(228)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(227)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(226)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(225)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(224)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(223)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(222)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(221)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(220)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(219)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(218)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(217)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(216)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(215)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(214)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(213)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(212)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(211)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(210)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(209)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(208)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(207)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(206)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(205)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(204)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(203)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(202)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(201)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(200)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(199)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(198)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(197)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(196)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(195)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(194)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(193)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(192)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(191)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(190)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(189)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(188)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(187)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(186)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(185)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(184)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(183)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(182)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(181)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(180)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(179)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(178)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(177)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(176)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(175)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(174)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(173)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(172)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(171)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(170)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(169)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(168)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(167)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(166)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(165)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(164)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(163)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(162)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(161)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(160)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(159)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(158)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(157)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(156)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(155)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(154)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(153)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(152)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(151)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(150)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(149)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(148)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(147)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(146)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(145)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(144)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(143)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(142)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(141)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(140)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(139)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(138)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(137)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(136)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(135)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(134)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(133)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(132)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(131)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(130)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(129)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(128)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA0OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGDATA1OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DBGDATA1OUT(255)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(254)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(253)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(252)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(251)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(250)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(249)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(248)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(247)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(246)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(245)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(244)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(243)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(242)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(241)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(240)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(239)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(238)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(237)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(236)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(235)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(234)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(233)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(232)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(231)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(230)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(229)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(228)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(227)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(226)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(225)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(224)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(223)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(222)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(221)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(220)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(219)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(218)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(217)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(216)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(215)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(214)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(213)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(212)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(211)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(210)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(209)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(208)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(207)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(206)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(205)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(204)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(203)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(202)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(201)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(200)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(199)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(198)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(197)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(196)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(195)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(194)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(193)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(192)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(191)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(190)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(189)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(188)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(187)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(186)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(185)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(184)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(183)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(182)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(181)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(180)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(179)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(178)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(177)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(176)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(175)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(174)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(173)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(172)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(171)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(170)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(169)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(168)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(167)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(166)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(165)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(164)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(163)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(162)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(161)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(160)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(159)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(158)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(157)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(156)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(155)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(154)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(153)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(152)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(151)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(150)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(149)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(148)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(147)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(146)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(145)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(144)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(143)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(142)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(141)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(140)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(139)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(138)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(137)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(136)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(135)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(134)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(133)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(132)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(131)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(130)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(129)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(128)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATA1OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCCIXRXTUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 45, + "pins": [ + { + "name": "MAXISCCIXRXTUSER(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCCIXRXTUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCCIXRXTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISCCIXRXTVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "MAXISCQTDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTKEEP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXISCQTKEEP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISCQTLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 87, + "pins": [ + { + "name": "MAXISCQTUSER(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISCQTVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "MAXISRCTDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTKEEP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXISRCTKEEP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISRCTLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 74, + "pins": [ + { + "name": "MAXISRCTUSER(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISRCTVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMADDRESS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREPLAYRAMADDRESS0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMADDRESS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREPLAYRAMADDRESS1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIREPLAYRAMREADENABLE0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIREPLAYRAMREADENABLE1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEDATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MIREPLAYRAMWRITEDATA0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEDATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MIREPLAYRAMWRITEDATA1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIREPLAYRAMWRITEENABLE0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIREPLAYRAMWRITEENABLE1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADADDRESS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADENABLE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADENABLE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMREADENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMREADENABLE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMREADENABLE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEADDRESS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEDATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXCOMPLETIONRAMWRITEENABLE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADADDRESS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADENABLE0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMREADENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMREADENABLE1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEADDRESS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEDATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEENABLE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEENABLE0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIRXPOSTEDREQUESTRAMWRITEENABLE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIRXPOSTEDREQUESTRAMWRITEENABLE1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIECQNPREQCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PCIECQNPREQCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEPERST0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEPERST0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEPERST1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEPERST1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUM0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PCIERQSEQNUM0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUM1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PCIERQSEQNUM1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUMVLD0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQSEQNUMVLD0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUMVLD1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQSEQNUMVLD1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAG0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PCIERQTAG0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAG1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PCIERQTAG1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAGAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PCIERQTAGAV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAGAV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAGAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAGAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAGVLD0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQTAGVLD0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAGVLD1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQTAGVLD1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIETFCNPDAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PCIETFCNPDAV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPDAV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPDAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPDAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIETFCNPHAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PCIETFCNPHAV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPHAV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPHAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPHAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX00EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX00EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX00EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX00POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX00POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX01EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX01EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX01EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX01POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX01POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX02EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX02EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX02EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX02POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX02POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX03EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX03EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX03EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX03POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX03POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX04EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX04EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX04EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX04POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX04POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX05EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX05EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX05EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX05POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX05POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX06EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX06EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX06EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX06POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX06POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX07EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX07EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX07EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX07POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX07POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX08EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX08EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX08EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX08POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX08POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX09EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX09EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX09EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX09POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX09POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX10EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX10EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX10EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX10POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX10POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX11EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX11EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX11EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX11POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX11POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX12EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX12EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX12EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX12POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX12POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX13EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX13EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX13EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX13POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX13POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX14EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX14EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX14EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX14POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX14POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX15EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX15EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX15EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX15POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX15POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERXEQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERXEQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERXEQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERXEQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERXEQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX00CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX00COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX00DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX00DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX00ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX00EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX00EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX00POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX00STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX00SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX00SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX00SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX01CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX01COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX01DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX01DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX01ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX01EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX01EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX01POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX01STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX01SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX01SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX01SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX02CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX02COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX02DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX02DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX02ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX02EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX02EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX02POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX02STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX02SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX02SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX02SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX03CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX03COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX03DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX03DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX03ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX03EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX03EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX03POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX03STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX03SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX03SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX03SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX04CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX04COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX04DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX04DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX04ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX04EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX04EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX04POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX04STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX04SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX04SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX04SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX05CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX05COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX05DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX05DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX05ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX05EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX05EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX05POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX05STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX05SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX05SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX05SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX06CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX06COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX06DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX06DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX06ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX06EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX06EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX06POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX06STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX06SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX06SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX06SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX07CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX07COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX07DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX07DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX07ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX07EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX07EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX07POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX07STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX07SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX07SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX07SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX08CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX08COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX08DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX08DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX08ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX08EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX08EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX08POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX08STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX08SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX08SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX08SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX09CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX09COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX09DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX09DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX09ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX09EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX09EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX09POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX09STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX09SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX09SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX09SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX10CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX10COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX10DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX10DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX10ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX10EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX10EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX10POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX10STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX10SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX10SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX10SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX11CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX11COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX11DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX11DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX11ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX11EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX11EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX11POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX11STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX11SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX11SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX11SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX12CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX12COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX12DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX12DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX12ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX12EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX12EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX12POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX12STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX12SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX12SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX12SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX13CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX13COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX13DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX13DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX13ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX13EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX13EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX13POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX13STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX13SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX13SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX13SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX14CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX14COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX14DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX14DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX14ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX14EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX14EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX14POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX14STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX14SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX14SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX14SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX15CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX15COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX15DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX15DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX15ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX15EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX15EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX15POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX15STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX15SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX15SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX15SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXDEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXMARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETXMARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXMARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXMARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETXRATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXRATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXRCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXRESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXSWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXSWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLEQINPROGRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLEQINPROGRESS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLEQPHASE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLEQPHASE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLEQPHASE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLGEN34EQMISMATCH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLGEN34EQMISMATCH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXISCCTREADY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXISRQTREADY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "USERSPAREOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "USERSPAREOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "USERSPAREOUT(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ILKNE4", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CORE_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORE_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_FORCE_RESYNC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_FORCE_RESYNC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_ACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_ACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_ERRIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_ERRIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_FORCE_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_FORCE_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RETRANS_RESET_MODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RETRANS_RESET_MODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_DIAGWORD_INTFSTAT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_DIAGWORD_INTFSTAT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CTL_TX_DIAGWORD_LANESTAT(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_DIAGWORD_LANESTAT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_ERRINJ_BITERR_GO", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_ERRINJ_BITERR_GO", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_ERRINJ_BITERR_LANE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CTL_TX_ERRINJ_BITERR_LANE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_ERRINJ_BITERR_LANE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_ERRINJ_BITERR_LANE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_ERRINJ_BITERR_LANE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_FC_STAT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "CTL_TX_FC_STAT(255)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(254)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(253)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(252)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(251)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(250)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(249)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(248)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(247)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(246)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(245)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(244)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(243)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(242)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(241)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(240)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(239)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(238)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(237)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(236)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(235)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(234)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(233)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(232)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(231)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(230)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(229)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(228)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(227)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(226)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(225)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(224)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(223)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(222)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(221)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(220)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(219)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(218)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(217)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(216)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(215)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(214)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(213)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(212)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(211)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(210)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(209)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(208)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(207)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(206)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(205)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(204)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(203)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(202)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(201)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(200)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(199)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(198)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(197)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(196)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(195)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(194)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(193)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(192)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(191)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(190)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(189)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(188)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(187)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(186)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(185)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(184)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(183)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(182)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(181)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(180)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(179)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(178)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(177)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(176)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(175)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(174)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(173)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(172)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(171)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(170)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(169)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(168)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(167)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(166)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(165)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(164)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(163)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(162)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(161)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(160)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(159)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(158)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(157)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(156)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(155)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(154)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(153)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(152)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(151)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(150)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(149)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(148)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(147)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(146)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(145)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(144)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(143)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(142)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(141)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(140)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(139)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(138)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(137)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(136)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(135)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(134)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(133)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(132)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(131)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(130)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(129)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(128)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(127)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(126)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(125)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(124)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(123)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(122)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(121)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(120)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(119)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(118)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(117)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(116)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(115)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(114)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(113)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(112)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(111)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(110)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(109)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(108)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(107)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(106)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(105)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(104)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(103)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(102)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(101)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(100)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(99)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(98)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(97)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(96)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(95)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(94)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(93)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(92)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(91)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(90)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(89)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(88)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(87)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(86)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(85)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(84)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(83)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(82)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(81)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(80)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(79)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(78)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(77)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(76)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(75)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(74)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(73)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(72)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_FC_STAT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_MUBITS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CTL_TX_MUBITS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_MUBITS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RETRANS_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RETRANS_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RETRANS_RAM_PERRIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RETRANS_RAM_PERRIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 643, + "pins": [ + { + "name": "CTL_TX_RETRANS_RAM_RDATA(643)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(642)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(641)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(640)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(639)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(638)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(637)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(636)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(635)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(634)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(633)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(632)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(631)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(630)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(629)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(628)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(627)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(626)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(625)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(624)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(623)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(622)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(621)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(620)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(619)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(618)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(617)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(616)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(615)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(614)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(613)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(612)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(611)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(610)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(609)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(608)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(607)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(606)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(605)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(604)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(603)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(602)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(601)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(600)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(599)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(598)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(597)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(596)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(595)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(594)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(593)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(592)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(591)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(590)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(589)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(588)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(587)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(586)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(585)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(584)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(583)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(582)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(581)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(580)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(579)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(578)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(577)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(576)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(575)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(574)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(573)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(572)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(571)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(570)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(569)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(568)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(567)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(566)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(565)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(564)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(563)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(562)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(561)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(560)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(559)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(558)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(557)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(556)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(555)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(554)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(553)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(552)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(551)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(550)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(549)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(548)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(547)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(546)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(545)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(544)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(543)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(542)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(541)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(540)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(539)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(538)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(537)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(536)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(535)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(534)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(533)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(532)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(531)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(530)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(529)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(528)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(527)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(526)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(525)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(524)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(523)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(522)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(521)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(520)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(519)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(518)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(517)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(516)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(515)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(514)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(513)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(512)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(511)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(510)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(509)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(508)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(507)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(506)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(505)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(504)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(503)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(502)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(501)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(500)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(499)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(498)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(497)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(496)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(495)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(494)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(493)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(492)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(491)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(490)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(489)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(488)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(487)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(486)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(485)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(484)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(483)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(482)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(481)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(480)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(479)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(478)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(477)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(476)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(475)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(474)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(473)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(472)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(471)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(470)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(469)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(468)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(467)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(466)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(465)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(464)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(463)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(462)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(461)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(460)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(459)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(458)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(457)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(456)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(455)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(454)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(453)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(452)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(451)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(450)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(449)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(448)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(447)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(446)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(445)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(444)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(443)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(442)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(441)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(440)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(439)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(438)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(437)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(436)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(435)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(434)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(433)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(432)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(431)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(430)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(429)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(428)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(427)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(426)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(425)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(424)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(423)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(422)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(421)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(420)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(419)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(418)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(417)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(416)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(415)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(414)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(413)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(412)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(411)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(410)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(409)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(408)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(407)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(406)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(405)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(404)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(403)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(402)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(401)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(400)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(399)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(398)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(397)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(396)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(395)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(394)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(393)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(392)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(391)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(390)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(389)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(388)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(387)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(386)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(385)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(384)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(383)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(382)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(381)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(380)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(379)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(378)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(377)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(376)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(375)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(374)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(373)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(372)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(371)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(370)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(369)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(368)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(367)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(366)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(365)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(364)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(363)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(362)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(361)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(360)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(359)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(358)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(357)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(356)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(355)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(354)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(353)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(352)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(351)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(350)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(349)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(348)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(347)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(346)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(345)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(344)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(343)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(342)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(341)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(340)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(339)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(338)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(337)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(336)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(335)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(334)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(333)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(332)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(331)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(330)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(329)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(328)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(327)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(326)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(325)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(324)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(323)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(322)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(321)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(320)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(319)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(318)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(317)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(316)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(315)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(314)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(313)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(312)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(311)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(310)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(309)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(308)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(307)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(306)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(305)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(304)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(303)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(302)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(301)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(300)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(299)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(298)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(297)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(296)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(295)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(294)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(293)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(292)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(291)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(290)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(289)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(288)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(287)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(286)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(285)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(284)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(283)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(282)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(281)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(280)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(279)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(278)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(277)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(276)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(275)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(274)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(273)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(272)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(271)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(270)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(269)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(268)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(267)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(266)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(265)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(264)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(263)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(262)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(261)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(260)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(259)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(258)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(257)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(256)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RETRANS_RAM_RDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RETRANS_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RETRANS_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RETRANS_REQ_VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RETRANS_REQ_VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RLIM_DELTA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CTL_TX_RLIM_DELTA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_DELTA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RLIM_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RLIM_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RLIM_INTV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CTL_TX_RLIM_INTV(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_INTV(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RLIM_MAX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CTL_TX_RLIM_MAX(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_RLIM_MAX(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_ADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRP_ADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRP_DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LBUS_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LBUS_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_FORCE_REALIGNIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_BYPASS_FORCE_REALIGNIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_RDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_BYPASS_RDIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_SERDES_CLK(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA00(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA00(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA01(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA01(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA02", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA02(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA02(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA03", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA03(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA03(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA04", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA04(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA04(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA05", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA05(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA05(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA06", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA06(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA06(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA07", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA07(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA07(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA08", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA08(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA08(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA09", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA09(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA09(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA10(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA10(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA11(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA11(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_SERDES_RESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BCTLIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_BCTLIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BCTLIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_BCTLIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BCTLIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_BCTLIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BCTLIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_BCTLIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_CTRLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "TX_BYPASS_CTRLIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_CTRLIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN00(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN00(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN01(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN01(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN02", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN02(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN02(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN03", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN03(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN03(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN04", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN04(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN04(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN05", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN05(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN05(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN06", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN06(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN06(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN07", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN07(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN07(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN08", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN08(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN08(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN09", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN09(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN09(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN10(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN10(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_DATAIN11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_BYPASS_DATAIN11(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_DATAIN11(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_ENAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_BYPASS_ENAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TX_BYPASS_GEARBOX_SEQIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_GEARBOX_SEQIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BYPASS_MFRAMER_STATEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_BYPASS_MFRAMER_STATEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_MFRAMER_STATEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_MFRAMER_STATEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BYPASS_MFRAMER_STATEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CHANIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "TX_CHANIN0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CHANIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "TX_CHANIN1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CHANIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "TX_CHANIN2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CHANIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "TX_CHANIN3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_CHANIN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN2(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN3(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SERDES_REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_REFCLK_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SERDES_REFCLK_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRP_DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRP_RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_RDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT00", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT00(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT00(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT01", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT01(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT01(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT02", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT02(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT02(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT03", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT03(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT03(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT04", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT04(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT04(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT05", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT05(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT05(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT06", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT06(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT06(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT07", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT07(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT07(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT08", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT08(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT08(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT09", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT09(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT09(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT10(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT10(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_DATAOUT11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_BYPASS_DATAOUT11(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_DATAOUT11(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_ENAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_ENAOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_ENAOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_IS_AVAILOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_IS_AVAILOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_AVAILOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_BADLYFRAMEDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_OVERFLOWOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_IS_SYNCEDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCEDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BYPASS_IS_SYNCWORDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_CHANOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "RX_CHANOUT0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_CHANOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "RX_CHANOUT1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_CHANOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "RX_CHANOUT2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_CHANOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "RX_CHANOUT3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_CHANOUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT2(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT3(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OVFOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_OVFOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_ALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_ALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_ALIGNED_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_ALIGNED_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BAD_TYPE_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_BAD_TYPE_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_TYPE_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BURSTMAX_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BURSTMAX_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BURST_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BURST_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_CRC24_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_CRC24_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_CRC32_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_CRC32_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_CRC32_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_CRC32_VALID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_CRC32_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_DESCRAM_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_DESCRAM_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DESCRAM_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_INTFSTAT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_DIAGWORD_LANESTAT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_DIAGWORD_LANESTAT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FC_STAT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "STAT_RX_FC_STAT(255)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(254)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(253)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(252)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(251)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(250)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(249)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(248)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(247)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(246)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(245)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(244)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(243)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(242)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(241)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(240)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(239)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(238)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(237)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(236)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(235)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(234)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(233)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(232)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(231)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(230)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(229)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(228)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(227)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(226)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(225)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(224)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(223)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(222)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(221)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(220)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(219)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(218)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(217)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(216)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(215)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(214)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(213)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(212)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(211)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(210)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(209)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(208)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(207)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(206)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(205)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(204)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(203)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(202)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(201)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(200)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(199)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(198)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(197)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(196)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(195)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(194)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(193)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(192)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(191)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(190)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(189)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(188)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(187)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(186)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(185)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(184)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(183)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(182)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(181)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(180)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(179)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(178)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(177)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(176)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(175)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(174)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(173)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(172)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(171)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(170)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(169)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(168)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(167)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(166)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(165)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(164)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(163)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(162)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(161)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(160)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(159)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(158)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(157)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(156)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(155)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(154)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(153)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(152)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(151)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(150)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(149)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(148)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(147)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(146)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(145)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(144)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(143)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(142)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(141)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(140)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(139)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(138)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(137)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(136)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(135)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(134)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(133)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(132)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(131)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(130)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(129)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(128)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(127)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(126)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(125)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(124)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(123)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(122)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(121)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(120)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(119)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(118)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(117)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(116)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(115)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(114)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(113)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(112)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(111)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(110)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(109)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(108)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(107)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(106)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(105)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(104)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(103)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(102)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(101)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(100)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(99)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(98)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(97)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(96)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(95)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(94)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FC_STAT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MEOP_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MEOP_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_MF_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_LEN_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_MF_LEN_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_REPEAT_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_MF_REPEAT_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MSOP_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MSOP_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MUBITS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "STAT_RX_MUBITS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MUBITS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MUBITS_UPDATED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MUBITS_UPDATED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_OVERFLOW_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_OVERFLOW_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_CRC24_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_CRC24_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_DISC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_DISC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_LATENCY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_RETRANS_LATENCY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_LATENCY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_RETRY_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_RETRY_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_SEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "STAT_RX_RETRANS_SEQ(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SEQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_SEQ_UPDATED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_SEQ_UPDATED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_RETRANS_STATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_STATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_STATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_SUBSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_RETRANS_SUBSEQ(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SUBSEQ(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SUBSEQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SUBSEQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RETRANS_SUBSEQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_WDOG_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_WDOG_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RETRANS_WRAP_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RETRANS_WRAP_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_SYNCED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_SYNCED(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_SYNCED_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_SYNCED_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_WORD_SYNC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "STAT_RX_WORD_SYNC(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_WORD_SYNC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_BURST_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_BURST_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_ERRINJ_BITERR_DONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_ERRINJ_BITERR_DONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_OVERFLOW_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_OVERFLOW_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_BURST_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_BURST_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_BUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_BUSY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_PERROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_PERROUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RD_B0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RD_B0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RD_B1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RD_B1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RD_B2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RD_B2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RD_B3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RD_B3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_RSEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_RSEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_RSEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 643, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WDATA(643)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(642)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(641)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(640)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(639)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(638)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(637)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(636)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(635)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(634)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(633)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(632)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(631)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(630)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(629)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(628)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(627)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(626)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(625)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(624)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(623)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(622)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(621)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(620)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(619)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(618)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(617)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(616)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(615)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(614)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(613)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(612)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(611)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(610)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(609)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(608)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(607)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(606)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(605)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(604)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(603)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(602)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(601)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(600)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(599)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(598)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(597)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(596)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(595)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(594)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(593)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(592)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(591)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(590)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(589)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(588)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(587)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(586)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(585)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(584)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(583)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(582)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(581)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(580)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(579)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(578)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(577)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(576)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(575)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(574)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(573)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(572)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(571)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(570)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(569)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(568)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(567)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(566)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(565)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(564)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(563)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(562)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(561)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(560)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(559)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(558)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(557)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(556)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(555)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(554)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(553)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(552)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(551)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(550)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(549)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(548)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(547)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(546)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(545)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(544)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(543)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(542)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(541)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(540)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(539)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(538)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(537)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(536)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(535)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(534)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(533)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(532)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(531)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(530)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(529)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(528)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(527)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(526)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(525)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(524)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(523)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(522)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(521)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(520)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(519)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(518)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(517)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(516)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(515)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(514)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(513)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(512)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(511)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(510)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(509)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(508)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(507)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(506)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(505)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(504)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(503)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(502)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(501)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(500)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(499)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(498)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(497)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(496)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(495)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(494)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(493)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(492)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(491)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(490)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(489)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(488)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(487)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(486)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(485)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(484)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(483)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(482)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(481)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(480)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(479)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(478)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(477)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(476)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(475)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(474)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(473)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(472)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(471)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(470)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(469)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(468)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(467)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(466)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(465)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(464)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(463)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(462)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(461)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(460)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(459)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(458)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(457)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(456)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(455)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(454)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(453)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(452)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(451)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(450)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(449)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(448)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(447)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(446)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(445)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(444)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(443)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(442)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(441)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(440)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(439)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(438)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(437)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(436)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(435)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(434)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(433)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(432)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(431)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(430)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(429)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(428)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(427)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(426)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(425)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(424)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(423)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(422)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(421)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(420)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(419)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(418)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(417)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(416)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(415)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(414)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(413)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(412)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(411)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(410)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(409)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(408)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(407)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(406)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(405)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(404)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(403)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(402)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(401)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(400)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(399)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(398)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(397)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(396)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(395)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(394)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(393)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(392)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(391)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(390)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(389)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(388)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(387)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(386)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(385)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(384)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(383)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(382)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(381)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(380)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(379)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(378)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(377)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(376)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(375)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(374)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(373)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(372)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(371)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(370)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(369)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(368)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(367)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(366)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(365)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(364)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(363)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(362)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(361)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(360)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(359)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(358)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(357)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(356)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(355)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(354)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(353)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(352)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(351)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(350)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(349)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(348)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(347)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(346)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(345)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(344)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(343)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(342)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(341)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(340)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(339)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(338)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(337)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(336)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(335)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(334)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(333)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(332)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(331)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(330)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(329)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(328)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(327)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(326)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(325)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(324)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(323)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(322)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(321)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(320)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(319)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(318)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(317)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(316)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(315)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(314)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(313)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(312)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(311)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(310)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(309)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(308)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(307)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(306)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(305)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(304)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(303)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(302)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(301)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(300)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(299)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(298)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(297)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(296)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(295)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(294)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(293)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(292)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(291)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(290)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(289)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(288)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(287)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(286)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(285)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(284)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(283)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(282)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(281)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(280)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(279)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(278)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(277)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(276)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(275)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(274)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(273)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(272)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(271)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(270)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(269)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(268)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(267)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(266)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(265)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(264)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(263)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(262)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(261)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(260)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(259)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(258)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(257)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(256)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_RETRANS_RAM_WDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WE_B0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WE_B0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WE_B1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WE_B1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WE_B2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WE_B2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_RETRANS_RAM_WE_B3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_RETRANS_RAM_WE_B3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_UNDERFLOW_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_UNDERFLOW_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_OVFOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_OVFOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_RDYOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_RDYOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA00", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA00(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA00(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA01", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA01(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA01(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA02", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA02(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA02(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA03", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA03(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA03(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA04", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA04(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA04(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA05", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA05(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA05(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA06", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA06(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA06(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA07", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA07(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA07(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA08", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA08(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA08(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA09", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA09(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA09(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA10(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA10(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA11(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA11(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PS8", + "types": [ + "sequential" + ], + "pin_groups": [ + { + "name": "ADMAFCICLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "ADMAFCICLK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADMAFCICLK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADMAFCICLK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADMAFCICLK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADMAFCICLK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADMAFCICLK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADMAFCICLK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADMAFCICLK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AIBPMUAFIFMFPDACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AIBPMUAFIFMFPDACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AIBPMUAFIFMLPDACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AIBPMUAFIFMLPDACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DDRCEXTREFRESHRANK0REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRCEXTREFRESHRANK0REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DDRCEXTREFRESHRANK1REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRCEXTREFRESHRANK1REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DDRCREFRESHPLCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRCREFRESHPLCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPAUXDATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPAUXDATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPEXTERNALCUSTOMEVENT1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPEXTERNALCUSTOMEVENT1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPEXTERNALCUSTOMEVENT2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPEXTERNALCUSTOMEVENT2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPEXTERNALVSYNCEVENT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPEXTERNALVSYNCEVENT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPHOTPLUGDETECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPHOTPLUGDETECT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPLIVEGFXALPHAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DPLIVEGFXALPHAIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXALPHAIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXALPHAIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXALPHAIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXALPHAIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXALPHAIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXALPHAIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXALPHAIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPLIVEGFXPIXEL1IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "DPLIVEGFXPIXEL1IN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEGFXPIXEL1IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPLIVEVIDEOINDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPLIVEVIDEOINDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPLIVEVIDEOINHSYNC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPLIVEVIDEOINHSYNC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPLIVEVIDEOINPIXEL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "DPLIVEVIDEOINPIXEL1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DPLIVEVIDEOINPIXEL1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPLIVEVIDEOINVSYNC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPLIVEVIDEOINVSYNC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPMAXISMIXEDAUDIOTREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPMAXISMIXEDAUDIOTREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPSAXISAUDIOCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPSAXISAUDIOCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPSAXISAUDIOTDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DPSAXISAUDIOTDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DPSAXISAUDIOTDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPSAXISAUDIOTID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPSAXISAUDIOTID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPSAXISAUDIOTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPSAXISAUDIOTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPVIDEOINCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPVIDEOINCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOCAN0PHYRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOCAN0PHYRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOCAN1PHYRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOCAN1PHYRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0DMATXSTATUSTOG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0DMATXSTATUSTOG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0EXTINTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0EXTINTIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIICOL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIICOL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIICRS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIICRS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIIRXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIIRXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIIRXD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET0GMIIRXD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIIRXDV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIIRXDV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIIRXER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIIRXER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIITXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIITXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0MDIOI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0MDIOI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0RXWOVERFLOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0RXWOVERFLOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXRCONTROL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0TXRCONTROL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXRDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET0TXRDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0TXRDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0TXRDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0TXRDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0TXRDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0TXRDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0TXRDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0TXRDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXRDATARDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0TXRDATARDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXREOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0TXREOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXRERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0TXRERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXRFLUSHED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0TXRFLUSHED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXRSOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0TXRSOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXRUNDERFLOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0TXRUNDERFLOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXRVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0TXRVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1DMATXSTATUSTOG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1DMATXSTATUSTOG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1EXTINTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1EXTINTIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIICOL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIICOL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIICRS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIICRS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIIRXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIIRXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIIRXD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET1GMIIRXD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIIRXDV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIIRXDV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIIRXER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIIRXER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIITXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIITXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1MDIOI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1MDIOI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1RXWOVERFLOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1RXWOVERFLOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXRCONTROL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1TXRCONTROL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXRDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET1TXRDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1TXRDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1TXRDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1TXRDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1TXRDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1TXRDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1TXRDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1TXRDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXRDATARDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1TXRDATARDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXREOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1TXREOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXRERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1TXRERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXRFLUSHED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1TXRFLUSHED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXRSOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1TXRSOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXRUNDERFLOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1TXRUNDERFLOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXRVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1TXRVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2DMATXSTATUSTOG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2DMATXSTATUSTOG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2EXTINTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2EXTINTIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2GMIICOL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2GMIICOL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2GMIICRS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2GMIICRS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2GMIIRXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2GMIIRXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2GMIIRXD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET2GMIIRXD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2GMIIRXD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2GMIIRXD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2GMIIRXD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2GMIIRXD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2GMIIRXD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2GMIIRXD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2GMIIRXD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2GMIIRXDV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2GMIIRXDV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2GMIIRXER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2GMIIRXER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2GMIITXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2GMIITXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2MDIOI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2MDIOI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2RXWOVERFLOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2RXWOVERFLOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXRCONTROL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2TXRCONTROL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXRDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET2TXRDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2TXRDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2TXRDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2TXRDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2TXRDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2TXRDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2TXRDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET2TXRDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXRDATARDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2TXRDATARDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXREOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2TXREOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXRERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2TXRERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXRFLUSHED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2TXRFLUSHED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXRSOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2TXRSOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXRUNDERFLOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2TXRUNDERFLOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXRVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2TXRVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3DMATXSTATUSTOG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3DMATXSTATUSTOG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3EXTINTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3EXTINTIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3GMIICOL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3GMIICOL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3GMIICRS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3GMIICRS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3GMIIRXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3GMIIRXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3GMIIRXD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET3GMIIRXD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3GMIIRXD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3GMIIRXD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3GMIIRXD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3GMIIRXD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3GMIIRXD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3GMIIRXD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3GMIIRXD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3GMIIRXDV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3GMIIRXDV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3GMIIRXER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3GMIIRXER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3GMIITXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3GMIITXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3MDIOI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3MDIOI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3RXWOVERFLOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3RXWOVERFLOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXRCONTROL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3TXRCONTROL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXRDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET3TXRDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3TXRDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3TXRDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3TXRDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3TXRDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3TXRDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3TXRDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET3TXRDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXRDATARDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3TXRDATARDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXREOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3TXREOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXRERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3TXRERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXRFLUSHED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3TXRFLUSHED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXRSOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3TXRSOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXRUNDERFLOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3TXRUNDERFLOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXRVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3TXRVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENETTSUCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENETTSUCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0TSUINCCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EMIOGEM0TSUINCCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGEM0TSUINCCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1TSUINCCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EMIOGEM1TSUINCCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGEM1TSUINCCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2TSUINCCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EMIOGEM2TSUINCCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGEM2TSUINCCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3TSUINCCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EMIOGEM3TSUINCCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGEM3TSUINCCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOGPIOI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 95, + "pins": [ + { + "name": "EMIOGPIOI(95)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(94)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(93)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(92)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(91)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(90)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(89)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(88)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(87)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(86)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(85)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(84)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(83)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(82)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(81)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(80)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(79)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(78)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(77)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(76)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(75)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(74)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(73)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(72)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(71)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(70)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(69)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(68)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(67)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(66)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(65)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(64)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(63)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(62)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(61)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(60)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(59)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(58)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(57)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(56)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(55)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(54)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(53)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(52)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(51)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(50)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(49)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(48)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(47)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(46)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(45)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(44)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(43)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(42)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(41)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(40)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(39)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(38)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(37)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(36)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(35)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(34)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(33)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(32)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(31)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(30)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(29)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(28)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(27)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(26)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(25)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(24)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(23)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(22)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(21)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(20)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(19)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(18)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(17)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(16)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOHUBPORTOVERCRNTUSB20", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOHUBPORTOVERCRNTUSB20", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOHUBPORTOVERCRNTUSB21", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOHUBPORTOVERCRNTUSB21", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOHUBPORTOVERCRNTUSB30", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOHUBPORTOVERCRNTUSB30", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOHUBPORTOVERCRNTUSB31", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOHUBPORTOVERCRNTUSB31", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SCLI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SCLI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SDAI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SDAI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SCLI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SCLI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SDAI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SDAI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CMDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CMDIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0DATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOSDIO0DATAIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0FBCLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0FBCLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0WP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0WP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CMDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CMDIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1DATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOSDIO1DATAIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1FBCLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1FBCLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1WP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1WP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0MI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0MI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SCLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SCLKI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SSIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SSIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1MI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1MI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SCLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SCLKI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SSIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SSIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC0CLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC0CLKI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC0CLKI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC0CLKI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC1CLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC1CLKI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC1CLKI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC1CLKI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC2CLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC2CLKI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC2CLKI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC2CLKI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC3CLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC3CLKI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC3CLKI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC3CLKI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0CTSN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0CTSN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0DCDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0DCDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0DSRN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0DSRN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0RIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0RIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0RX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0RX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1CTSN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1CTSN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1DCDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1DCDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1DSRN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1DSRN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1RIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1RIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1RX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1RX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOWDT0CLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOWDT0CLKI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOWDT1CLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOWDT1CLKI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM0FIFORXCLKFROMPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM0FIFORXCLKFROMPL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM0FIFOTXCLKFROMPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM0FIFOTXCLKFROMPL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM0SIGNALDETECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM0SIGNALDETECT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM1FIFORXCLKFROMPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM1FIFORXCLKFROMPL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM1FIFOTXCLKFROMPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM1FIFOTXCLKFROMPL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM1SIGNALDETECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM1SIGNALDETECT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM2FIFORXCLKFROMPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM2FIFORXCLKFROMPL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM2FIFOTXCLKFROMPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM2FIFOTXCLKFROMPL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM2SIGNALDETECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM2SIGNALDETECT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM3FIFORXCLKFROMPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM3FIFORXCLKFROMPL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM3FIFOTXCLKFROMPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM3FIFOTXCLKFROMPL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM3SIGNALDETECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM3SIGNALDETECT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FMIOGEMTSUCLKFROMPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEMTSUCLKFROMPL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FTMGPI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "FTMGPI(31)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(30)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(29)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(28)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(27)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(26)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(25)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(24)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(23)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(22)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(21)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(20)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(19)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(18)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(17)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(16)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMGPI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GDMAFCICLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "GDMAFCICLK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "GDMAFCICLK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "GDMAFCICLK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "GDMAFCICLK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "GDMAFCICLK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "GDMAFCICLK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "GDMAFCICLK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "GDMAFCICLK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0ARREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0AWREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0BID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP0BID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0BRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0BRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0BVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0BVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MAXIGP0RDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP0RID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0RLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0RRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0RVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0WREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1ARREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1AWREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1BID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP1BID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1BRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1BRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1BVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1BVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MAXIGP1RDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP1RID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1RLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1RRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1RVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1WREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2ARREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2AWREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2BID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP2BID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2BRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP2BRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2BRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2BVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2BVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2RDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MAXIGP2RDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2RID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP2RID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2RLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2RLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2RRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP2RRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP2RRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2RVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2RVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2WREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2WREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "NFIQ0LPDRPU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "NFIQ0LPDRPU", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "NFIQ1LPDRPU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "NFIQ1LPDRPU", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "NIRQ0LPDRPU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "NIRQ0LPDRPU", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "NIRQ1LPDRPU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "NIRQ1LPDRPU", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PL2ADMACVLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PL2ADMACVLD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMACVLD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMACVLD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMACVLD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMACVLD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMACVLD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMACVLD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMACVLD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PL2ADMATACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PL2ADMATACK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMATACK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMATACK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMATACK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMATACK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMATACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMATACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2ADMATACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PL2GDMACVLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PL2GDMACVLD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMACVLD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMACVLD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMACVLD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMACVLD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMACVLD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMACVLD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMACVLD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PL2GDMATACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PL2GDMATACK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMATACK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMATACK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMATACK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMATACK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMATACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMATACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2GDMATACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLACECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLACECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLACPINACT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLACPINACT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLFPGASTOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLFPGASTOP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLFPGASTOP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLFPGASTOP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLFPGASTOP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLLAUXREFCLKFPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PLLAUXREFCLKFPD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLAUXREFCLKFPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLAUXREFCLKFPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLLAUXREFCLKLPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLLAUXREFCLKLPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLLAUXREFCLKLPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLPMUGPI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PLPMUGPI(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPMUGPI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLPSAPUGICFIQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLPSAPUGICFIQ(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSAPUGICFIQ(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSAPUGICFIQ(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSAPUGICFIQ(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLPSAPUGICIRQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLPSAPUGICIRQ(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSAPUGICIRQ(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSAPUGICIRQ(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSAPUGICIRQ(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLPSEVENTI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLPSEVENTI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLPSIRQ0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PLPSIRQ0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLPSIRQ1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PLPSIRQ1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSIRQ1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLPSTRACECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLPSTRACECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLPSTRIGACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLPSTRIGACK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSTRIGACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSTRIGACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSTRIGACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLPSTRIGGER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLPSTRIGGER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSTRIGGER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSTRIGGER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLPSTRIGGER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMUERRORFROMPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PMUERRORFROMPL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMUERRORFROMPL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMUERRORFROMPL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMUERRORFROMPL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTRXN0IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTRXN0IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTRXN1IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTRXN1IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTRXN2IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTRXN2IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTRXN3IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTRXN3IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTRXP0IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTRXP0IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTRXP1IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTRXP1IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTRXP2IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTRXP2IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTRXP3IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTRXP3IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_PADI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_PADI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_REFN0IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_REFN0IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_REFN1IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_REFN1IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_REFN2IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_REFN2IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_REFN3IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_REFN3IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_REFP0IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_REFP0IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_REFP1IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_REFP1IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_REFP2IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_REFP2IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_REFP3IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_REFP3IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RPUEVENTI0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RPUEVENTI0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RPUEVENTI1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RPUEVENTI1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDACREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDACREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "SACEFPDARADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARBAR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SACEFPDARBAR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARBAR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SACEFPDARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SACEFPDARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARDOMAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SACEFPDARDOMAIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARDOMAIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SACEFPDARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SACEFPDARLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDARLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SACEFPDARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SACEFPDARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARREGION", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SACEFPDARREGION(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARREGION(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARREGION(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARREGION(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SACEFPDARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARSNOOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SACEFPDARSNOOP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARSNOOP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARSNOOP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARSNOOP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SACEFPDARUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDARUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "SACEFPDAWADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWBAR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SACEFPDAWBAR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWBAR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SACEFPDAWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SACEFPDAWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWDOMAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SACEFPDAWDOMAIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWDOMAIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SACEFPDAWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SACEFPDAWLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDAWLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SACEFPDAWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SACEFPDAWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWREGION", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SACEFPDAWREGION(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWREGION(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWREGION(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWREGION(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SACEFPDAWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWSNOOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SACEFPDAWSNOOP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWSNOOP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWSNOOP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SACEFPDAWUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDAWUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDAWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDBREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDBREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDCDDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SACEFPDCDDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCDDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDCDLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDCDLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDCDVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDCDVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDCRRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "SACEFPDCRRESP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCRRESP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCRRESP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCRRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDCRRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDCRVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDCRVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDRACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDRACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDRREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDRREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDWACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDWACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDWDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SACEFPDWDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDWLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDWLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDWSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SACEFPDWSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SACEFPDWSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDWUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SACEFPDWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "SAXIACPARADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIACPARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "SAXIACPARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIACPARLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPARLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIACPARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPARUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "SAXIACPAWADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPAWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIACPAWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "SAXIACPAWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIACPAWLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPAWLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPAWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIACPAWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPAWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPAWUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPAWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPBREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPBREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPRREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIACPWDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPWLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SAXIACPWSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP0ARADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP0ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP0ARLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0ARLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP0ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP0ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0ARUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP0AWADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP0AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP0AWLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0AWLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP0AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP0AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0AWUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0RCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP0WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SAXIGP0WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP1ARADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP1ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP1ARLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1ARLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP1ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP1ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1ARUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP1AWADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP1AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP1AWLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1AWLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP1AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP1AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1AWUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1RCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP1WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SAXIGP1WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP2ARADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP2ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP2ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP2ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP2ARLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2ARLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP2ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP2ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP2ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2ARUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP2AWADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP2AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP2AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP2AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP2AWLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2AWLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP2AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP2AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP2AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2AWUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2RCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2RCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP2WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SAXIGP2WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP2WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP3ARADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP3ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP3ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP3ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP3ARLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3ARLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP3ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP3ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP3ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3ARUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP3AWADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP3AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP3AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP3AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP3AWLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3AWLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP3AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP3AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP3AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3AWUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3RCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3RCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP3WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SAXIGP3WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP3WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP4ARADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP4ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP4ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP4ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP4ARLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4ARLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP4ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP4ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP4ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4ARUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP4AWADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP4AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP4AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP4AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP4AWLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4AWLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP4AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP4AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP4AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4AWUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4RCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4RCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP4WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SAXIGP4WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP4WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP5ARADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP5ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP5ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP5ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP5ARLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5ARLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP5ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP5ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP5ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5ARUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP5AWADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP5AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP5AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP5AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP5AWLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5AWLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP5AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP5AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP5AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5AWUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5RCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5RCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP5WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SAXIGP5WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP5WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP6ARADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP6ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP6ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP6ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP6ARLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6ARLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP6ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP6ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP6ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6ARUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 48, + "pins": [ + { + "name": "SAXIGP6AWADDR(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP6AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP6AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP6AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP6AWLEN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWLEN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWLEN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWLEN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6AWLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP6AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP6AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP6AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6AWUSER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6RCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6RCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP6WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SAXIGP6WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP6WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "STMEVENT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 59, + "pins": [ + { + "name": "STMEVENT(59)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(58)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(57)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(56)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(55)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(54)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(53)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(52)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(51)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(50)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(49)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(48)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(47)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(46)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(45)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(44)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(43)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(42)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(41)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(40)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(39)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(38)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(37)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(36)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(35)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(34)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(33)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(32)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(31)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(30)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(29)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(28)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(27)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(26)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(25)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(24)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(23)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(22)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(21)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(20)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(19)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(18)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(17)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(16)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(15)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(14)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(13)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(12)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(11)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(10)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(9)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "STMEVENT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADMA2PLCACK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "ADMA2PLCACK(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLCACK(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLCACK(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLCACK(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLCACK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLCACK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLCACK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLCACK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ADMA2PLTVLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "ADMA2PLTVLD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLTVLD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLTVLD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLTVLD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLTVLD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLTVLD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLTVLD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ADMA2PLTVLD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPAUDIOREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPAUDIOREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPAUXDATAOEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPAUXDATAOEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPAUXDATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPAUXDATAOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPLIVEVIDEODEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPLIVEVIDEODEOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DPMAXISMIXEDAUDIOTDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DPMAXISMIXEDAUDIOTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPMAXISMIXEDAUDIOTID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPMAXISMIXEDAUDIOTID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPMAXISMIXEDAUDIOTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPMAXISMIXEDAUDIOTVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPSAXISAUDIOTREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPSAXISAUDIOTREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPVIDEOOUTHSYNC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPVIDEOOUTHSYNC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPVIDEOOUTPIXEL1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "DPVIDEOOUTPIXEL1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DPVIDEOOUTPIXEL1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPVIDEOOUTVSYNC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPVIDEOOUTVSYNC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DPVIDEOREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPVIDEOREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOCAN0PHYTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOCAN0PHYTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOCAN1PHYTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOCAN1PHYTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0DMABUSWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EMIOENET0DMABUSWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0DMABUSWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0DMATXENDTOG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0DMATXENDTOG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 93, + "pins": [ + { + "name": "EMIOENET0GEMTSUTIMERCNT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GEMTSUTIMERCNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIITXD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET0GMIITXD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIITXEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIITXEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIITXER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIITXER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0MDIOMDC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0MDIOMDC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0MDIOO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0MDIOO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0MDIOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0MDIOTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0RXWDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET0RXWDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0RXWEOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0RXWEOP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0RXWERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0RXWERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0RXWFLUSH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0RXWFLUSH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0RXWSOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0RXWSOP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0RXWSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "EMIOENET0RXWSTATUS(44)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(43)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(42)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(41)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(40)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(39)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(38)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(37)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(36)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(35)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(34)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(33)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(32)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0RXWSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0RXWWR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0RXWWR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0SPEEDMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOENET0SPEEDMODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0SPEEDMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0SPEEDMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXRRD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0TXRRD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0TXRSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "EMIOENET0TXRSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0TXRSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0TXRSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0TXRSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1DMABUSWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EMIOENET1DMABUSWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1DMABUSWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1DMATXENDTOG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1DMATXENDTOG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIITXD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET1GMIITXD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIITXEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIITXEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIITXER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIITXER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1MDIOMDC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1MDIOMDC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1MDIOO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1MDIOO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1MDIOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1MDIOTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1RXWDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET1RXWDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1RXWEOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1RXWEOP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1RXWERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1RXWERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1RXWFLUSH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1RXWFLUSH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1RXWSOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1RXWSOP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1RXWSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "EMIOENET1RXWSTATUS(44)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(43)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(42)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(41)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(40)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(39)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(38)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(37)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(36)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(35)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(34)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(33)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(32)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1RXWSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1RXWWR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1RXWWR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1SPEEDMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOENET1SPEEDMODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1SPEEDMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1SPEEDMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXRRD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1TXRRD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1TXRSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "EMIOENET1TXRSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1TXRSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1TXRSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1TXRSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2DMABUSWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EMIOENET2DMABUSWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2DMABUSWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2DMATXENDTOG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2DMATXENDTOG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2GMIITXD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET2GMIITXD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2GMIITXD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2GMIITXD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2GMIITXD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2GMIITXD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2GMIITXD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2GMIITXD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2GMIITXD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2GMIITXEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2GMIITXEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2GMIITXER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2GMIITXER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2MDIOMDC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2MDIOMDC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2MDIOO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2MDIOO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2MDIOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2MDIOTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2RXWDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET2RXWDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2RXWEOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2RXWEOP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2RXWERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2RXWERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2RXWFLUSH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2RXWFLUSH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2RXWSOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2RXWSOP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2RXWSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "EMIOENET2RXWSTATUS(44)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(43)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(42)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(41)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(40)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(39)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(38)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(37)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(36)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(35)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(34)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(33)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(32)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2RXWSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2RXWWR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2RXWWR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2SPEEDMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOENET2SPEEDMODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2SPEEDMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2SPEEDMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXRRD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET2TXRRD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET2TXRSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "EMIOENET2TXRSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2TXRSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2TXRSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET2TXRSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3DMABUSWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EMIOENET3DMABUSWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3DMABUSWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3DMATXENDTOG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3DMATXENDTOG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3GMIITXD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET3GMIITXD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3GMIITXD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3GMIITXD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3GMIITXD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3GMIITXD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3GMIITXD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3GMIITXD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3GMIITXD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3GMIITXEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3GMIITXEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3GMIITXER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3GMIITXER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3MDIOMDC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3MDIOMDC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3MDIOO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3MDIOO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3MDIOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3MDIOTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3RXWDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET3RXWDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3RXWEOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3RXWEOP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3RXWERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3RXWERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3RXWFLUSH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3RXWFLUSH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3RXWSOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3RXWSOP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3RXWSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "EMIOENET3RXWSTATUS(44)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(43)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(42)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(41)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(40)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(39)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(38)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(37)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(36)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(35)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(34)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(33)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(32)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3RXWSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3RXWWR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3RXWWR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3SPEEDMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOENET3SPEEDMODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3SPEEDMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3SPEEDMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXRRD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET3TXRRD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET3TXRSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "EMIOENET3TXRSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3TXRSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3TXRSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET3TXRSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0DELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0DELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0DELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0DELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0PDELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0PDELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0PDELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0PDELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0PDELAYRESPRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0PDELAYRESPRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0PDELAYRESPTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0PDELAYRESPTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0RXSOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0RXSOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0SYNCFRAMERX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0SYNCFRAMERX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0SYNCFRAMETX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0SYNCFRAMETX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0TSUTIMERCMPVAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0TSUTIMERCMPVAL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0TXRFIXEDLAT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0TXRFIXEDLAT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM0TXSOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM0TXSOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1DELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1DELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1DELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1DELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1PDELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1PDELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1PDELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1PDELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1PDELAYRESPRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1PDELAYRESPRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1PDELAYRESPTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1PDELAYRESPTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1RXSOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1RXSOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1SYNCFRAMERX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1SYNCFRAMERX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1SYNCFRAMETX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1SYNCFRAMETX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1TSUTIMERCMPVAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1TSUTIMERCMPVAL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1TXRFIXEDLAT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1TXRFIXEDLAT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM1TXSOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM1TXSOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2DELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2DELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2DELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2DELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2PDELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2PDELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2PDELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2PDELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2PDELAYRESPRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2PDELAYRESPRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2PDELAYRESPTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2PDELAYRESPTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2RXSOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2RXSOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2SYNCFRAMERX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2SYNCFRAMERX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2SYNCFRAMETX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2SYNCFRAMETX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2TSUTIMERCMPVAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2TSUTIMERCMPVAL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2TXRFIXEDLAT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2TXRFIXEDLAT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM2TXSOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM2TXSOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3DELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3DELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3DELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3DELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3PDELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3PDELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3PDELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3PDELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3PDELAYRESPRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3PDELAYRESPRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3PDELAYRESPTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3PDELAYRESPTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3RXSOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3RXSOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3SYNCFRAMERX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3SYNCFRAMERX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3SYNCFRAMETX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3SYNCFRAMETX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3TSUTIMERCMPVAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3TSUTIMERCMPVAL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3TXRFIXEDLAT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3TXRFIXEDLAT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGEM3TXSOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOGEM3TXSOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGPIOO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 95, + "pins": [ + { + "name": "EMIOGPIOO(95)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(94)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(93)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(92)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(91)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(90)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(89)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(88)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(87)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(86)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(85)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(84)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(83)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(82)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(81)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(80)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(79)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(78)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(77)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(76)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(75)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(74)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(73)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(72)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(71)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(70)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(69)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(68)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(67)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(66)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(65)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(64)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(63)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(62)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(61)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(60)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(59)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(58)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(57)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(56)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(55)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(54)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(53)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(52)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(51)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(50)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(49)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(48)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(47)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(46)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(45)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(44)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(43)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(42)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(41)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(40)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(39)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(38)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(37)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(36)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(35)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(34)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(33)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(32)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGPIOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 95, + "pins": [ + { + "name": "EMIOGPIOTN(95)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(94)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(93)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(92)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(91)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(90)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(89)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(88)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(87)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(86)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(85)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(84)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(83)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(82)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(81)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(80)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(79)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(78)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(77)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(76)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(75)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(74)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(73)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(72)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(71)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(70)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(69)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(68)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(67)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(66)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(65)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(64)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(63)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(62)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(61)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(60)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(59)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(58)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(57)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(56)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(55)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(54)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(53)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(52)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(51)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(50)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(49)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(48)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(47)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(46)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(45)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(44)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(43)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(42)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(41)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(40)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(39)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(38)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(37)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(36)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(35)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(34)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(33)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(32)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SCLO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SCLO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SCLTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SCLTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SDAO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SDAO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SDATN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SDATN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SCLO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SCLO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SCLTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SCLTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SDAO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SDAO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SDATN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SDATN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0BUSPOWER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0BUSPOWER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0BUSVOLT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOSDIO0BUSVOLT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0BUSVOLT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0BUSVOLT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CLKOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CLKOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CMDENA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CMDENA", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CMDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CMDOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0DATAENA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOSDIO0DATAENA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAENA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAENA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAENA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAENA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAENA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAENA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAENA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0DATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOSDIO0DATAOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0LEDCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0LEDCONTROL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1BUSPOWER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1BUSPOWER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1BUSVOLT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOSDIO1BUSVOLT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1BUSVOLT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1BUSVOLT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CLKOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CLKOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CMDENA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CMDENA", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CMDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CMDOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1DATAENA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOSDIO1DATAENA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAENA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAENA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAENA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAENA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAENA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAENA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAENA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1DATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOSDIO1DATAOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1LEDCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1LEDCONTROL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0MO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0MO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0MOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0MOTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SCLKO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SCLKO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SCLKTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SCLKTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SSNTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SSNTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SSON", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOSPI0SSON(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSPI0SSON(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSPI0SSON(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0STN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0STN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1MO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1MO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1MOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1MOTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SCLKO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SCLKO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SCLKTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SCLKTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SSNTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SSNTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SSON", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOSPI1SSON(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSPI1SSON(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSPI1SSON(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1STN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1STN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC0WAVEO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC0WAVEO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC0WAVEO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC0WAVEO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC1WAVEO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC1WAVEO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC1WAVEO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC1WAVEO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC2WAVEO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC2WAVEO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC2WAVEO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC2WAVEO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC3WAVEO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC3WAVEO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC3WAVEO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC3WAVEO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOU2DSPORTVBUSCTRLUSB30", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOU2DSPORTVBUSCTRLUSB30", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOU2DSPORTVBUSCTRLUSB31", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOU2DSPORTVBUSCTRLUSB31", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOU3DSPORTVBUSCTRLUSB30", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOU3DSPORTVBUSCTRLUSB30", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOU3DSPORTVBUSCTRLUSB31", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOU3DSPORTVBUSCTRLUSB31", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0DTRN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0DTRN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0RTSN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0RTSN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0TX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0TX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1DTRN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1DTRN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1RTSN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1RTSN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1TX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1TX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOWDT0RSTO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOWDT0RSTO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOWDT1RSTO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOWDT1RSTO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM0FIFORXCLKTOPLBUFG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM0FIFORXCLKTOPLBUFG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM0FIFOTXCLKTOPLBUFG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM0FIFOTXCLKTOPLBUFG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM1FIFORXCLKTOPLBUFG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM1FIFORXCLKTOPLBUFG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM1FIFOTXCLKTOPLBUFG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM1FIFOTXCLKTOPLBUFG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM2FIFORXCLKTOPLBUFG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM2FIFORXCLKTOPLBUFG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM2FIFOTXCLKTOPLBUFG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM2FIFOTXCLKTOPLBUFG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM3FIFORXCLKTOPLBUFG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM3FIFORXCLKTOPLBUFG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FMIOGEM3FIFOTXCLKTOPLBUFG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEM3FIFOTXCLKTOPLBUFG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FMIOGEMTSUCLKTOPLBUFG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FMIOGEMTSUCLKTOPLBUFG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FTMGPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "FTMGPO(31)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(30)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(29)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(28)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(27)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(26)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(25)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(24)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(23)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(22)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(21)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(20)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(19)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(18)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(17)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(16)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMGPO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GDMA2PLCACK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "GDMA2PLCACK(7)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLCACK(6)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLCACK(5)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLCACK(4)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLCACK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLCACK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLCACK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLCACK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GDMA2PLTVLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "GDMA2PLTVLD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLTVLD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLTVLD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLTVLD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLTVLD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLTVLD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLTVLD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "GDMA2PLTVLD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "MAXIGP0ARADDR(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0ARBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0ARCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP0ARID(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXIGP0ARLEN(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLEN(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLEN(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLEN(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0ARLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP0ARPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0ARQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP0ARSIZE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP0ARUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0ARVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "MAXIGP0AWADDR(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0AWBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0AWCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP0AWID(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXIGP0AWLEN(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLEN(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLEN(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLEN(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0AWLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP0AWPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0AWQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP0AWSIZE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP0AWUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0AWVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0BREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0BREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0RREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MAXIGP0WDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0WLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WSTRB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP0WSTRB(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0WVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "MAXIGP1ARADDR(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1ARBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1ARCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP1ARID(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXIGP1ARLEN(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLEN(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLEN(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLEN(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1ARLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP1ARPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1ARQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP1ARSIZE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP1ARUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1ARVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "MAXIGP1AWADDR(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1AWBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1AWCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP1AWID(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXIGP1AWLEN(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLEN(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLEN(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLEN(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1AWLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP1AWPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1AWQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP1AWSIZE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP1AWUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1AWVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1BREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1BREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1RREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MAXIGP1WDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1WLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WSTRB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP1WSTRB(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1WVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "MAXIGP2ARADDR(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP2ARBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP2ARCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP2ARID(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXIGP2ARLEN(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARLEN(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARLEN(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARLEN(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2ARLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP2ARPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP2ARQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP2ARSIZE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP2ARUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2ARUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2ARVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2ARVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "MAXIGP2AWADDR(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP2AWBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP2AWCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP2AWID(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXIGP2AWLEN(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWLEN(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWLEN(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWLEN(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2AWLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP2AWPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP2AWQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP2AWSIZE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP2AWUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2AWUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2AWVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2AWVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2BREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2BREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2RREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2RREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2WDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "MAXIGP2WDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2WLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2WLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2WSTRB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MAXIGP2WSTRB(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP2WSTRB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP2WVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP2WVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OSCRTCCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OSCRTCCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLCLK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PLCLK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PLCLK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLCLK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMUAIBAFIFMFPDREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PMUAIBAFIFMFPDREQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMUAIBAFIFMLPDREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PMUAIBAFIFMLPDREQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMUERRORTOPL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 46, + "pins": [ + { + "name": "PMUERRORTOPL(46)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(45)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(44)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(43)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(42)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(41)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(40)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(39)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(38)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(37)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(36)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(35)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(34)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(33)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(32)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUERRORTOPL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMUPLGPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PMUPLGPO(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMUPLGPO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSPLEVENTO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSPLEVENTO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSPLIRQFPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "PSPLIRQFPD(63)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(62)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(61)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(60)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(59)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(58)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(57)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(56)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(55)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(54)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(53)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(52)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(51)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(50)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(49)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(48)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(47)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(46)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(45)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(44)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(43)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(42)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(41)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(40)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(39)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(38)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(37)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(36)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(35)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(34)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(33)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(32)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQFPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSPLIRQLPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 99, + "pins": [ + { + "name": "PSPLIRQLPD(99)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(98)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(97)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(96)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(95)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(94)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(93)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(92)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(91)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(90)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(89)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(88)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(87)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(86)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(85)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(84)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(83)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(82)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(81)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(80)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(79)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(78)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(77)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(76)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(75)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(74)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(73)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(72)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(71)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(70)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(69)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(68)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(67)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(66)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(65)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(64)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(63)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(62)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(61)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(60)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(59)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(58)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(57)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(56)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(55)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(54)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(53)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(52)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(51)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(50)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(49)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(48)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(47)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(46)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(45)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(44)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(43)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(42)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(41)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(40)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(39)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(38)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(37)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(36)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(35)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(34)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(33)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(32)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLIRQLPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSPLSTANDBYWFE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PSPLSTANDBYWFE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLSTANDBYWFE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLSTANDBYWFE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLSTANDBYWFE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSPLSTANDBYWFI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PSPLSTANDBYWFI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLSTANDBYWFI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLSTANDBYWFI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLSTANDBYWFI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSPLTRACECTL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSPLTRACECTL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSPLTRACEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PSPLTRACEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRACEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSPLTRIGACK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PSPLTRIGACK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRIGACK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRIGACK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRIGACK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSPLTRIGGER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PSPLTRIGGER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRIGGER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRIGGER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PSPLTRIGGER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTTXN0OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTTXN0OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTTXN1OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTTXN1OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTTXN2OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTTXN2OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTTXN3OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTTXN3OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTTXP0OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTTXP0OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTTXP1OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTTXP1OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTTXP2OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTTXP2OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MGTTXP3OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MGTTXP3OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_PADO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_PADO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RPUEVENTO0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RPUEVENTO0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RPUEVENTO1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RPUEVENTO1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDACADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "SACEFPDACADDR(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDACPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SACEFPDACPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDACSNOOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SACEFPDACSNOOP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACSNOOP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACSNOOP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDACSNOOP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDACVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDACVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDAWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDAWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDBID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SACEFPDBID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDBID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDBID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDBID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDBID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDBID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDBRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SACEFPDBRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDBRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDBUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDBUSER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDBVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDBVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDCDREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDCDREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDCRREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDCRREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDRDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SACEFPDRDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDRID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SACEFPDRID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDRLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDRLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDRRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SACEFPDRRESP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRRESP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SACEFPDRRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDRUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDRUSER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDRVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDRVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SACEFPDWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SACEFPDWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPAWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPBID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "SAXIACPBID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPBID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPBID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPBID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPBID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPBRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPBRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPBRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPBVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPBVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIACPRDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "SAXIACPRID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPRLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPRRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPRVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP0BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0RACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP0RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP0RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP0RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP0WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP1BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1RACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP1RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP1RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP1RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP1WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP2BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP2BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP2RACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP2RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP2RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP2RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP2RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP2WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP2WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP2WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP2WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP2WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP3BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP3BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP3RACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP3RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP3RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP3RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP3RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP3WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP3WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP3WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP3WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP3WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP4BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP4BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP4RACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP4RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP4RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP4RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP4RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP4WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP4WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP4WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP4WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP4WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP5BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP5BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP5RACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP5RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP5RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP5RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP5RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP5WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP5WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP5WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP5WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP5WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP6BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP6BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP6RACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP6RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "SAXIGP6RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP6RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP6RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP6WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIGP6WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP6WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP6WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP6WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_BOOTMODE", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_BOOTMODE(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_BOOTMODE(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_BOOTMODE(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_BOOTMODE(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_CLK", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_CLK", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DONEB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DONEB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(17)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(16)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(15)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(14)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(13)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(12)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(11)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(10)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(9)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMA(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMACTN", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMACTN", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMALERTN", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMALERTN", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMBA", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMBA(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMBA(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMBG", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMBG(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMBG(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMCK", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMCK(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMCK(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMCKE", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMCKE(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMCKE(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMCKN", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMCKN(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMCKN(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMCSN", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMCSN(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMCSN(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDM", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMDM(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDM(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDM(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDM(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDM(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDM(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDM(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDM(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDM(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(71)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(70)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(69)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(68)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(67)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(66)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(65)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(64)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(63)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(62)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(61)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(60)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(59)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(58)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(57)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(56)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(55)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(54)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(53)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(52)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(51)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(50)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(49)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(48)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(47)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(46)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(45)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(44)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(43)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(42)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(41)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(40)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(39)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(38)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(37)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(36)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(35)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(34)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(33)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(32)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(31)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(30)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(29)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(28)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(27)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(26)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(25)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(24)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(23)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(22)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(21)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(20)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(19)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(18)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(17)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(16)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(15)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(14)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(13)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(12)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(11)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(10)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(9)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQ(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQS", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQS(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQS(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQS(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQS(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQS(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQS(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQS(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQS(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQS(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQSN", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQSN(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQSN(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQSN(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQSN(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQSN(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQSN(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQSN(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQSN(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMDQSN(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMODT", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMODT(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMODT(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMPARITY", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMPARITY", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_DRAMRAMRSTN", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_DRAMRAMRSTN", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_ERROROUT", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_ERROROUT", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_ERRORSTATUS", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_ERRORSTATUS", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_INITB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_INITB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_JTAGTCK", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_JTAGTCK", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_JTAGTDI", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_JTAGTDI", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_JTAGTDO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_JTAGTDO", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_JTAGTMS", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_JTAGTMS", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 77, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_MIO(77)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(76)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(75)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(74)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(73)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(72)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(71)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(70)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(69)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(68)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(67)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(66)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(65)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(64)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(63)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(62)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(61)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(60)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(59)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(58)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(57)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(56)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(55)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(54)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(53)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(52)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(51)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(50)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(49)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(48)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(47)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(46)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(45)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(44)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(43)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(42)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(41)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(40)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(39)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(38)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(37)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(36)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(35)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(34)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(33)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(32)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(31)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(30)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(29)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(28)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(27)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(26)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(25)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(24)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(23)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(22)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(21)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(20)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(19)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(18)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(17)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(16)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(15)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(14)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(13)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(12)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(11)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(10)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(9)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "PSS_ALTO_CORE_PAD_MIO(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_PORB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_PORB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_PROGB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_PROGB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_RCALIBINOUT", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_RCALIBINOUT", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_SRSTB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_SRSTB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSS_ALTO_CORE_PAD_ZQ", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSS_ALTO_CORE_PAD_ZQ", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMB18E2", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "ADDRARDADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "ADDRARDADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRBWRADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "ADDRBWRADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRENA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADDRENA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADDRENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDIMUXA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDIMUXA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDIMUXB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDIMUXB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDINA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CASDINA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDINB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CASDINB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDINPA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CASDINPA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINPA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDINPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CASDINPB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINPB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUXA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUXA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUXB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUXB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUXEN_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUXEN_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUXEN_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUXEN_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUXA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUXA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUXB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUXB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUXEN_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUXEN_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUXEN_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUXEN_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKARDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKARDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKBWRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKBWRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DINADIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DINADIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DINADIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DINBDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DINBDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DINBDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DINPADINP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DINPADINP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DINPADINP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DINPBDINP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DINPBDINP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DINPBDINP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENARDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENARDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENBWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENBWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCEAREGCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCEAREGCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTRAMARSTRAM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTRAMARSTRAM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTRAMB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTRAMB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREGARSTREG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREGARSTREG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREGB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREGB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SLEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SLEEP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "WEA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEBWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "WEBWE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOUTA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CASDOUTA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASDOUTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CASDOUTB(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASDOUTPA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CASDOUTPA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTPA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASDOUTPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CASDOUTPB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTPB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUTADOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DOUTADOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTADOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUTBDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DOUTBDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTBDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUTPADOUTP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOUTPADOUTP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTPADOUTP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUTPBDOUTP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOUTPBDOUTP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTPBDOUTP(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "HSADC", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "ADC_CLK_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADC_CLK_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADC_CLK_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADC_CLK_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_FIFO_LM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_FIFO_LM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_ADC0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_ADC0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_ADC1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_ADC1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_ADC2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_ADC2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_ADC3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_ADC3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_COMMON", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_COMMON(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "DADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FABRIC_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FABRIC_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL_MONCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_MONCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL_REFCLK_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_REFCLK_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_IN_NORTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_IN_NORTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_IN_SOUTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_IN_SOUTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN0_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN0_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN0_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN0_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN1_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN1_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN1_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN1_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN2_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN2_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN2_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN2_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN3_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN3_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN3_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN3_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN_I01_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN_I01_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN_I01_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN_I01_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN_I23_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN_I23_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN_I23_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN_I23_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_ADC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_ADC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATA_ADC0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "DATA_ADC0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATA_ADC1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "DATA_ADC1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATA_ADC2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "DATA_ADC2(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATA_ADC3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "DATA_ADC3(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL_DMON_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_DMON_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL_REFCLK_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_REFCLK_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_ADC0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STATUS_ADC0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_ADC1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STATUS_ADC1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_ADC2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STATUS_ADC2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_ADC3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STATUS_ADC3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_COMMON", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STATUS_COMMON(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYSREF_OUT_NORTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_OUT_NORTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYSREF_OUT_SOUTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_OUT_SOUTH", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM128X1D", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "DPRA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SPO", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "SRL16E", + "types": [ + "sequential" + ], + "pin_groups": [ + { + "name": "A0", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A0", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "A1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "A2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "A3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A3", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state" + } + ] + } + ] + }, + { + "name": "OBUFDS_GTM", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ISERDESE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BITSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BITSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDIV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKDIVP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDIVP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DDLY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDLY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DYNCLKDIVSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DYNCLKDIVSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DYNCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DYNCLKSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OCLKB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLKB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OFB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OFB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q7", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q8", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM256X1D", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DPRA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DPRA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SPO", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFR", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUFDS_DIFF_OUT_DCIEN", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "IOB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IOB", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "FRAME_ECCE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "FARSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "FARSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "FARSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ICAPBOTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ICAPBOTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ICAPTOPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ICAPTOPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CRCERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CRCERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ECCERRORNOTSINGLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ECCERRORNOTSINGLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ECCERRORSINGLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ECCERRORSINGLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ENDOFFRAME", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENDOFFRAME", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ENDOFSCAN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENDOFSCAN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FAR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 25, + "pins": [ + { + "name": "FAR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUFDS_DCIEN", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "IOB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IOB", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "DSP_PREADD", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "ADDSUB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADDSUB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "D_DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INMODE2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INMODE2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PREADD_AB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "PREADD_AB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PREADD_AB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "AD(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AD(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFG_PS", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ODELAYE2_FINEDELAY", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CINVCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CINVCTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LDPIPEEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LDPIPEEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ODATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ODATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OFDLY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "OFDLY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OFDLY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OFDLY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "LUT2", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "LUT2_D", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "lut" + } + ] + }, + { + "name": "LO", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LO", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "DSP_OUTPUT", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "ALUMODE10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ALUMODE10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ALU_OUT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "ALU_OUT(47)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(46)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(45)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(44)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(43)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(42)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(41)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(40)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(39)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(38)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(37)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(36)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(35)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(34)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(33)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(32)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(31)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(30)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(29)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(28)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(27)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(26)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(25)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(24)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(23)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(22)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(21)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(20)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(19)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(18)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(17)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(16)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ALU_OUT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "COUT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "COUT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "COUT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "COUT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "C_DATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MULTSIGN_ALU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MULTSIGN_ALU", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "XOR_MX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "XOR_MX(7)", + "direction": "input", + "type": "none" + }, + { + "name": "XOR_MX(6)", + "direction": "input", + "type": "none" + }, + { + "name": "XOR_MX(5)", + "direction": "input", + "type": "none" + }, + { + "name": "XOR_MX(4)", + "direction": "input", + "type": "none" + }, + { + "name": "XOR_MX(3)", + "direction": "input", + "type": "none" + }, + { + "name": "XOR_MX(2)", + "direction": "input", + "type": "none" + }, + { + "name": "XOR_MX(1)", + "direction": "input", + "type": "none" + }, + { + "name": "XOR_MX(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYCASCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYCASCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CARRYOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CARRYOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CARRYOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CARRYOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CARRYOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CCOUT_FB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCOUT_FB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MULTSIGNOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MULTSIGNOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OVERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OVERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "P(47)", + "direction": "output", + "type": "none" + }, + { + "name": "P(46)", + "direction": "output", + "type": "none" + }, + { + "name": "P(45)", + "direction": "output", + "type": "none" + }, + { + "name": "P(44)", + "direction": "output", + "type": "none" + }, + { + "name": "P(43)", + "direction": "output", + "type": "none" + }, + { + "name": "P(42)", + "direction": "output", + "type": "none" + }, + { + "name": "P(41)", + "direction": "output", + "type": "none" + }, + { + "name": "P(40)", + "direction": "output", + "type": "none" + }, + { + "name": "P(39)", + "direction": "output", + "type": "none" + }, + { + "name": "P(38)", + "direction": "output", + "type": "none" + }, + { + "name": "P(37)", + "direction": "output", + "type": "none" + }, + { + "name": "P(36)", + "direction": "output", + "type": "none" + }, + { + "name": "P(35)", + "direction": "output", + "type": "none" + }, + { + "name": "P(34)", + "direction": "output", + "type": "none" + }, + { + "name": "P(33)", + "direction": "output", + "type": "none" + }, + { + "name": "P(32)", + "direction": "output", + "type": "none" + }, + { + "name": "P(31)", + "direction": "output", + "type": "none" + }, + { + "name": "P(30)", + "direction": "output", + "type": "none" + }, + { + "name": "P(29)", + "direction": "output", + "type": "none" + }, + { + "name": "P(28)", + "direction": "output", + "type": "none" + }, + { + "name": "P(27)", + "direction": "output", + "type": "none" + }, + { + "name": "P(26)", + "direction": "output", + "type": "none" + }, + { + "name": "P(25)", + "direction": "output", + "type": "none" + }, + { + "name": "P(24)", + "direction": "output", + "type": "none" + }, + { + "name": "P(23)", + "direction": "output", + "type": "none" + }, + { + "name": "P(22)", + "direction": "output", + "type": "none" + }, + { + "name": "P(21)", + "direction": "output", + "type": "none" + }, + { + "name": "P(20)", + "direction": "output", + "type": "none" + }, + { + "name": "P(19)", + "direction": "output", + "type": "none" + }, + { + "name": "P(18)", + "direction": "output", + "type": "none" + }, + { + "name": "P(17)", + "direction": "output", + "type": "none" + }, + { + "name": "P(16)", + "direction": "output", + "type": "none" + }, + { + "name": "P(15)", + "direction": "output", + "type": "none" + }, + { + "name": "P(14)", + "direction": "output", + "type": "none" + }, + { + "name": "P(13)", + "direction": "output", + "type": "none" + }, + { + "name": "P(12)", + "direction": "output", + "type": "none" + }, + { + "name": "P(11)", + "direction": "output", + "type": "none" + }, + { + "name": "P(10)", + "direction": "output", + "type": "none" + }, + { + "name": "P(9)", + "direction": "output", + "type": "none" + }, + { + "name": "P(8)", + "direction": "output", + "type": "none" + }, + { + "name": "P(7)", + "direction": "output", + "type": "none" + }, + { + "name": "P(6)", + "direction": "output", + "type": "none" + }, + { + "name": "P(5)", + "direction": "output", + "type": "none" + }, + { + "name": "P(4)", + "direction": "output", + "type": "none" + }, + { + "name": "P(3)", + "direction": "output", + "type": "none" + }, + { + "name": "P(2)", + "direction": "output", + "type": "none" + }, + { + "name": "P(1)", + "direction": "output", + "type": "none" + }, + { + "name": "P(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PATTERN_B_DETECT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PATTERN_B_DETECT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PATTERN_DETECT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PATTERN_DETECT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "PCOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "P_FDBK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "P_FDBK(47)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(46)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(45)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(44)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(43)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(42)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(41)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(40)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(39)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(38)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(37)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(36)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(35)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(34)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(33)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(32)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(31)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(30)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(29)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(28)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(27)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(26)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(25)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(24)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(23)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(22)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(21)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(20)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(19)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(18)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(17)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(16)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(15)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(14)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(13)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(12)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(11)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(10)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(9)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(8)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(7)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(6)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(5)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(4)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "P_FDBK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "P_FDBK_47", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "P_FDBK_47", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UNDERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UNDERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "XOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "XOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTYE3_CHANNEL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CDRSTEPDIR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDRSTEPDIR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CDRSTEPSQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDRSTEPSQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CDRSTEPSX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDRSTEPSX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CPLLREFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRPADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ELPCALDVORWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ELPCALDVORWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ELPCALPAORWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ELPCALPAORWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHICALDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHICALDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHICALSTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHICALSTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHIDRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHIDRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHIDWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHIDWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHIXRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHIXRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHIXWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHIXWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRESETSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRESETSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "GTRSVD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTTXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTTXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTYRXN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTYRXN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTYRXP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTYRXP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOOPBACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "LOOPBACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOOPRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "LOOPRSVD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LPBKRXTXSEREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPBKRXTXSEREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LPBKTXRXSEREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPBKTXRXSEREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIEEQRXEQADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEEQRXEQADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERSTIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERSTIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERSTTXSYNCSTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERSTTXSYNCSTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERRATEDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERRATEDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PCSRSVDIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PMARSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETOVRD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTCLKENTX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTCLKENTX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESETRSV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESETRSV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDLEVEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXCHBONDLEVEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCKCALRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCKCALRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDCCFORCESTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDCCFORCESTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP10HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP10HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP10OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP10OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP11HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP11HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP11OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP11OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP12HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP12HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP12OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP12OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP13HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP13HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP13OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP13OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP14HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP14HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP14OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP14OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP15HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP15HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP15OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP15OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP6HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP6HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP6OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP6OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP7HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP7HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP7OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP7OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP8HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP8HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP8OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP8OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP9HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP9HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP9OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP9OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVSEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVSEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXELECIDLEMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXELECIDLEMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLATCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLATCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMGCHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMGCHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMGCOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMGCOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMONITORSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXMONITORSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXMONITORSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTCFG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXOSINTCFG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTTESTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTTESTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPLLCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPLLCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPLLCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXPRBSSEL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPROGDIVRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPROGDIVRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIPOUTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPOUTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIPPMA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPPMA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TSTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "TSTIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TX8B10BBYPASS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXBUFDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXBUFDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXBUFDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXBUFDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TXCTRL0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TXCTRL1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXCTRL2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TXDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATAEXTENDRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXDATAEXTENDRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDCCFORCESTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDCCFORCESTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDCCRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDCCRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXDIFFCTRL(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDIFFPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXELFORCESTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXELFORCESTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "TXHEADER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXLATCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXLATCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMAINCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXMAINCURSOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMARGIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXMARGIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSTEPSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPIPPMSTEPSIZE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPISOPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPISOPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPLLCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPLLCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPLLCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPOSTCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXPRBSSEL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPRECURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPROGDIVRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPROGDIVRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSEQUENCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXSEQUENCE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSWING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSWING", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BUFGTCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTCE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTCEMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTCEMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCEMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCEMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTDIV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "BUFGTDIV(8)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(7)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(6)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(5)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(4)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTRSTMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTRSTMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRSTMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRSTMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 16, + "pins": [ + { + "name": "DMONITOROUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTPOWERGOOD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTPOWERGOOD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTYTXN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTYTXN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTYTXP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTYTXP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEGEN3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERATEGEN3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERATEIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLLPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIERATEQPLLPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERATEQPLLPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLLRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIERATEQPLLRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERATEQPLLRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIESYNCTXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIESYNCTXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERGEN3RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERGEN3RDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERPHYSTATUSRST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERPHYSTATUSRST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERRATESTART", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERRATESTART", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PINRSRVDAS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PINRSRVDAS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RESETEXCEPTION", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETEXCEPTION", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXBUFSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRPHDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRPHDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCKCALDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCKCALDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCLKCORCNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXCLKCORCNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCLKCORCNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RXCTRL0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RXCTRL1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCTRL2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCTRL3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RXDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAEXTENDRSVD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXDATAEXTENDRSVD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXDATAVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "RXHEADER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADERVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXHEADERVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADERVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RXMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSLOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSLOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRGDIVRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRGDIVRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLKOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRECCLKOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIDERDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDERDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPOUTCLKRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPOUTCLKRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPPMARDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPPMARDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTARTOFSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSTARTOFSEQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTARTOFSEQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXDCCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDCCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPRGDIVRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRGDIVRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "HBM_ONE_STACK_INTF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "APB_0_PADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "APB_0_PADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PRESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PRESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PWDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "APB_0_PWDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "APB_0_PWDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PWRITE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PWRITE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_00_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_00_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_00_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_00_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_00_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_00_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_00_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_00_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_00_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_00_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_00_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_00_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_01_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_01_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_01_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_01_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_01_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_01_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_01_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_01_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_01_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_01_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_01_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_01_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_02_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_02_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_02_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_02_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_02_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_02_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_02_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_02_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_02_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_02_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_02_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_02_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_03_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_03_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_03_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_03_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_03_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_03_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_03_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_03_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_03_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_03_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_03_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_03_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_04_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_04_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_04_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_04_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_04_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_04_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_04_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_04_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_04_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_04_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_04_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_04_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_05_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_05_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_05_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_05_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_05_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_05_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_05_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_05_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_05_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_05_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_05_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_05_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_06_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_06_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_06_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_06_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_06_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_06_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_06_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_06_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_06_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_06_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_06_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_06_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_07_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_07_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_07_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_07_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_07_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_07_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_07_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_07_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_07_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_07_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_07_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_07_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_08_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_08_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_08_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_08_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_08_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_08_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_08_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_08_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_08_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_08_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_08_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_08_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_09_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_09_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_09_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_09_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_09_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_09_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_09_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_09_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_09_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_09_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_09_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_09_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_10_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_10_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_10_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_10_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_10_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_10_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_10_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_10_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_10_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_10_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_10_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_10_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_11_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_11_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_11_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_11_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_11_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_11_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_11_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_11_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_11_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_11_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_11_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_11_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_12_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_12_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_12_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_12_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_12_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_12_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_12_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_12_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_12_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_12_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_12_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_12_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_13_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_13_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_13_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_13_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_13_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_13_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_13_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_13_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_13_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_13_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_13_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_13_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_14_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_14_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_14_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_14_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_14_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_14_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_14_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_14_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_14_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_14_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_14_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_14_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_15_ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_15_ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_15_ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_15_ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AXI_15_AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_15_AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AXI_15_AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AXI_15_AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_15_WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_15_WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_15_WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AXI_15_WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BSCAN_DRCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BSCAN_DRCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BSCAN_TCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BSCAN_TCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "HBM_REF_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "HBM_REF_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_02", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_02", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_03", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_03", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_04", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_04", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_05", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_05", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_06", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_06", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN_07", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN_07", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "APB_0_PRDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "APB_0_PRDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "APB_0_PRDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "APB_0_PREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "APB_0_PSLVERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "APB_0_PSLVERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_00_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_00_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_00_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_00_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_00_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_00_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_00_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_00_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_00_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_00_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_01_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_01_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_01_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_01_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_01_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_01_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_01_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_01_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_02_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_02_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_02_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_02_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_02_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_02_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_02_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_02_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_02_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_02_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_03_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_03_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_03_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_03_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_03_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_03_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_03_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_03_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_04_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_04_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_04_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_04_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_04_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_04_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_04_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_04_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_04_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_04_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_05_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_05_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_05_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_05_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_05_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_05_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_05_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_05_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_06_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_06_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_06_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_06_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_06_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_06_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_06_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_06_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_06_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_06_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_07_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_07_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_07_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_07_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_07_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_07_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_07_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_07_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_08_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_08_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_08_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_08_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_08_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_08_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_08_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_08_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_08_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_08_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_09_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_09_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_09_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_09_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_09_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_09_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_09_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_09_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_10_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_10_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_10_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_10_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_10_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_10_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_10_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_10_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_10_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_10_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_11_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_11_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_11_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_11_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_11_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_11_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_11_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_11_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_12_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_12_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_12_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_12_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_12_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_12_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_12_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_12_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_12_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_12_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_13_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_13_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_13_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_13_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_13_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_13_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_13_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_13_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_14_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_14_MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_14_PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_14_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_14_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_14_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_14_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_14_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_14_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_14_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_15_BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_AW_AERR_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_DFI_AW_AERR_N(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_AW_AERR_N(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DBI_BYTE_DISABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DBI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_DERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_DW_RDDATA_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_DFI_DW_RDDATA_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_DFI_DW_RDDATA_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_INIT_COMPLETE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_PHYUPD_REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_PHY_LP_STATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "AXI_15_RDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RDATA_PARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "AXI_15_RDATA_PARITY(31)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(30)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(29)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(28)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(27)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RDATA_PARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AXI_15_RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AXI_15_RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AXI_15_RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AXI_15_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AXI_15_WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRAM_0_STAT_CATTRIP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRAM_0_STAT_CATTRIP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRAM_0_STAT_TEMP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "DRAM_0_STAT_TEMP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRAM_0_STAT_TEMP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRAM_0_STAT_TEMP(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "STARTUPE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GSR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GSR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "KEYCLEARB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "KEYCLEARB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USRCCLKO", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USRCCLKO", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USRCCLKTS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USRCCLKTS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USRDONEO", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USRDONEO", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USRDONETS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USRDONETS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EOS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PREQ", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DSP_C_DATA", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "C(47)", + "direction": "input", + "type": "none" + }, + { + "name": "C(46)", + "direction": "input", + "type": "none" + }, + { + "name": "C(45)", + "direction": "input", + "type": "none" + }, + { + "name": "C(44)", + "direction": "input", + "type": "none" + }, + { + "name": "C(43)", + "direction": "input", + "type": "none" + }, + { + "name": "C(42)", + "direction": "input", + "type": "none" + }, + { + "name": "C(41)", + "direction": "input", + "type": "none" + }, + { + "name": "C(40)", + "direction": "input", + "type": "none" + }, + { + "name": "C(39)", + "direction": "input", + "type": "none" + }, + { + "name": "C(38)", + "direction": "input", + "type": "none" + }, + { + "name": "C(37)", + "direction": "input", + "type": "none" + }, + { + "name": "C(36)", + "direction": "input", + "type": "none" + }, + { + "name": "C(35)", + "direction": "input", + "type": "none" + }, + { + "name": "C(34)", + "direction": "input", + "type": "none" + }, + { + "name": "C(33)", + "direction": "input", + "type": "none" + }, + { + "name": "C(32)", + "direction": "input", + "type": "none" + }, + { + "name": "C(31)", + "direction": "input", + "type": "none" + }, + { + "name": "C(30)", + "direction": "input", + "type": "none" + }, + { + "name": "C(29)", + "direction": "input", + "type": "none" + }, + { + "name": "C(28)", + "direction": "input", + "type": "none" + }, + { + "name": "C(27)", + "direction": "input", + "type": "none" + }, + { + "name": "C(26)", + "direction": "input", + "type": "none" + }, + { + "name": "C(25)", + "direction": "input", + "type": "none" + }, + { + "name": "C(24)", + "direction": "input", + "type": "none" + }, + { + "name": "C(23)", + "direction": "input", + "type": "none" + }, + { + "name": "C(22)", + "direction": "input", + "type": "none" + }, + { + "name": "C(21)", + "direction": "input", + "type": "none" + }, + { + "name": "C(20)", + "direction": "input", + "type": "none" + }, + { + "name": "C(19)", + "direction": "input", + "type": "none" + }, + { + "name": "C(18)", + "direction": "input", + "type": "none" + }, + { + "name": "C(17)", + "direction": "input", + "type": "none" + }, + { + "name": "C(16)", + "direction": "input", + "type": "none" + }, + { + "name": "C(15)", + "direction": "input", + "type": "none" + }, + { + "name": "C(14)", + "direction": "input", + "type": "none" + }, + { + "name": "C(13)", + "direction": "input", + "type": "none" + }, + { + "name": "C(12)", + "direction": "input", + "type": "none" + }, + { + "name": "C(11)", + "direction": "input", + "type": "none" + }, + { + "name": "C(10)", + "direction": "input", + "type": "none" + }, + { + "name": "C(9)", + "direction": "input", + "type": "none" + }, + { + "name": "C(8)", + "direction": "input", + "type": "none" + }, + { + "name": "C(7)", + "direction": "input", + "type": "none" + }, + { + "name": "C(6)", + "direction": "input", + "type": "none" + }, + { + "name": "C(5)", + "direction": "input", + "type": "none" + }, + { + "name": "C(4)", + "direction": "input", + "type": "none" + }, + { + "name": "C(3)", + "direction": "input", + "type": "none" + }, + { + "name": "C(2)", + "direction": "input", + "type": "none" + }, + { + "name": "C(1)", + "direction": "input", + "type": "none" + }, + { + "name": "C(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "C_DATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "C_DATA(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "HSDAC", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK_FIFO_LM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_FIFO_LM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_COMMON", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_COMMON(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_DAC0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_DAC0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_DAC1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_DAC1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_DAC2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_DAC2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_DAC3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_DAC3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DAC_CLK_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DAC_CLK_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DAC_CLK_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DAC_CLK_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "DADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATA_DAC0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DATA_DAC0(255)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(254)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(253)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(252)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(251)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(250)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(249)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(248)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(247)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(246)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(245)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(244)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(243)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(242)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(241)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(240)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(239)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(238)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(237)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(236)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(235)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(234)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(233)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(232)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(231)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(230)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(229)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(228)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(227)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(226)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(225)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(224)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(223)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(222)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(221)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(220)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(219)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(218)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(217)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(216)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(215)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(214)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(213)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(212)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(211)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(210)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(209)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(208)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(207)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(206)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(205)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(204)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(203)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(202)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(201)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(200)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(199)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(198)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(197)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(196)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(195)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(194)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(193)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(192)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(191)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(190)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(189)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(188)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(187)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(186)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(185)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(184)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(183)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(182)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(181)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(180)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(179)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(178)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(177)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(176)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(175)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(174)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(173)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(172)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(171)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(170)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(169)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(168)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(167)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(166)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(165)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(164)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(163)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(162)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(161)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(160)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(159)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(158)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(157)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(156)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(155)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(154)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(153)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(152)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(151)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(150)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(149)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(148)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(147)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(146)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(145)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(144)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(143)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(142)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(141)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(140)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(139)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(138)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(137)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(136)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(135)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(134)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(133)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(132)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(131)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(130)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(129)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(128)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATA_DAC1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DATA_DAC1(255)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(254)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(253)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(252)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(251)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(250)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(249)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(248)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(247)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(246)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(245)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(244)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(243)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(242)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(241)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(240)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(239)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(238)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(237)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(236)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(235)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(234)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(233)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(232)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(231)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(230)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(229)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(228)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(227)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(226)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(225)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(224)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(223)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(222)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(221)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(220)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(219)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(218)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(217)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(216)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(215)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(214)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(213)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(212)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(211)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(210)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(209)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(208)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(207)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(206)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(205)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(204)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(203)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(202)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(201)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(200)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(199)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(198)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(197)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(196)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(195)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(194)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(193)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(192)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(191)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(190)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(189)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(188)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(187)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(186)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(185)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(184)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(183)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(182)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(181)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(180)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(179)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(178)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(177)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(176)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(175)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(174)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(173)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(172)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(171)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(170)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(169)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(168)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(167)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(166)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(165)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(164)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(163)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(162)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(161)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(160)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(159)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(158)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(157)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(156)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(155)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(154)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(153)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(152)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(151)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(150)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(149)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(148)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(147)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(146)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(145)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(144)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(143)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(142)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(141)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(140)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(139)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(138)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(137)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(136)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(135)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(134)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(133)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(132)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(131)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(130)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(129)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(128)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATA_DAC2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DATA_DAC2(255)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(254)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(253)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(252)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(251)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(250)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(249)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(248)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(247)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(246)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(245)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(244)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(243)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(242)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(241)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(240)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(239)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(238)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(237)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(236)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(235)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(234)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(233)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(232)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(231)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(230)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(229)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(228)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(227)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(226)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(225)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(224)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(223)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(222)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(221)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(220)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(219)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(218)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(217)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(216)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(215)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(214)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(213)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(212)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(211)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(210)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(209)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(208)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(207)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(206)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(205)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(204)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(203)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(202)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(201)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(200)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(199)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(198)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(197)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(196)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(195)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(194)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(193)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(192)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(191)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(190)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(189)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(188)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(187)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(186)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(185)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(184)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(183)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(182)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(181)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(180)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(179)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(178)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(177)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(176)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(175)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(174)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(173)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(172)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(171)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(170)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(169)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(168)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(167)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(166)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(165)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(164)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(163)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(162)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(161)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(160)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(159)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(158)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(157)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(156)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(155)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(154)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(153)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(152)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(151)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(150)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(149)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(148)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(147)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(146)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(145)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(144)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(143)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(142)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(141)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(140)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(139)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(138)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(137)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(136)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(135)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(134)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(133)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(132)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(131)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(130)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(129)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(128)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(127)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(126)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(125)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(124)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(123)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(122)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(121)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(120)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(119)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(118)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(117)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(116)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(115)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(114)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(113)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(112)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(111)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(110)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(109)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(108)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(107)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(106)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(105)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(104)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(103)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(102)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(101)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(100)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(99)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(98)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(97)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(96)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(95)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(94)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(93)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(92)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(91)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(90)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(89)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(88)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(87)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(86)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(85)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(84)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(83)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(82)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(81)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(80)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(79)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(78)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(77)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(76)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(75)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(74)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(73)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(72)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATA_DAC3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DATA_DAC3(255)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(254)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(253)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(252)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(251)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(250)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(249)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(248)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(247)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(246)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(245)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(244)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(243)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(242)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(241)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(240)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(239)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(238)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(237)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(236)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(235)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(234)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(233)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(232)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(231)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(230)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(229)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(228)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(227)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(226)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(225)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(224)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(223)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(222)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(221)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(220)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(219)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(218)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(217)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(216)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(215)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(214)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(213)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(212)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(211)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(210)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(209)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(208)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(207)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(206)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(205)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(204)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(203)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(202)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(201)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(200)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(199)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(198)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(197)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(196)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(195)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(194)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(193)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(192)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(191)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(190)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(189)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(188)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(187)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(186)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(185)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(184)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(183)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(182)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(181)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(180)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(179)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(178)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(177)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(176)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(175)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(174)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(173)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(172)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(171)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(170)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(169)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(168)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(167)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(166)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(165)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(164)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(163)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(162)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(161)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(160)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(159)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(158)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(157)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(156)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(155)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(154)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(153)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(152)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(151)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(150)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(149)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(148)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(147)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(146)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(145)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(144)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(143)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(142)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(141)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(140)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(139)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(138)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(137)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(136)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(135)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(134)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(133)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(132)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(131)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(130)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(129)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(128)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(127)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(126)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(125)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(124)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(123)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(122)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(121)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(120)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(119)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(118)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(117)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(116)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(115)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(114)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(113)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(112)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(111)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(110)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(109)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(108)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(107)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(106)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(105)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(104)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(103)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(102)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(101)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(100)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(99)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(98)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(97)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(96)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(95)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(94)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(93)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(92)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(91)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(90)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(89)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(88)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(87)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(86)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(85)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(84)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(83)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(82)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(81)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(80)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(79)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(78)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(77)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(76)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(75)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(74)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(73)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(72)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FABRIC_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FABRIC_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL_MONCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_MONCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL_REFCLK_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_REFCLK_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_IN_NORTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_IN_NORTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_IN_SOUTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_IN_SOUTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_DAC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_DAC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL_DMON_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_DMON_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL_REFCLK_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_REFCLK_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_COMMON", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STATUS_COMMON(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_DAC0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STATUS_DAC0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_DAC1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STATUS_DAC1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_DAC2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STATUS_DAC2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_DAC3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STATUS_DAC3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYSREF_OUT_NORTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_OUT_NORTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYSREF_OUT_SOUTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_OUT_SOUTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT0_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT0_N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT0_P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT0_P", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT1_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT1_N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT1_P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT1_P", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT2_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT2_N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT2_P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT2_P", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT3_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT3_N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT3_P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT3_P", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DSP48A1", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "C(47)", + "direction": "input", + "type": "none" + }, + { + "name": "C(46)", + "direction": "input", + "type": "none" + }, + { + "name": "C(45)", + "direction": "input", + "type": "none" + }, + { + "name": "C(44)", + "direction": "input", + "type": "none" + }, + { + "name": "C(43)", + "direction": "input", + "type": "none" + }, + { + "name": "C(42)", + "direction": "input", + "type": "none" + }, + { + "name": "C(41)", + "direction": "input", + "type": "none" + }, + { + "name": "C(40)", + "direction": "input", + "type": "none" + }, + { + "name": "C(39)", + "direction": "input", + "type": "none" + }, + { + "name": "C(38)", + "direction": "input", + "type": "none" + }, + { + "name": "C(37)", + "direction": "input", + "type": "none" + }, + { + "name": "C(36)", + "direction": "input", + "type": "none" + }, + { + "name": "C(35)", + "direction": "input", + "type": "none" + }, + { + "name": "C(34)", + "direction": "input", + "type": "none" + }, + { + "name": "C(33)", + "direction": "input", + "type": "none" + }, + { + "name": "C(32)", + "direction": "input", + "type": "none" + }, + { + "name": "C(31)", + "direction": "input", + "type": "none" + }, + { + "name": "C(30)", + "direction": "input", + "type": "none" + }, + { + "name": "C(29)", + "direction": "input", + "type": "none" + }, + { + "name": "C(28)", + "direction": "input", + "type": "none" + }, + { + "name": "C(27)", + "direction": "input", + "type": "none" + }, + { + "name": "C(26)", + "direction": "input", + "type": "none" + }, + { + "name": "C(25)", + "direction": "input", + "type": "none" + }, + { + "name": "C(24)", + "direction": "input", + "type": "none" + }, + { + "name": "C(23)", + "direction": "input", + "type": "none" + }, + { + "name": "C(22)", + "direction": "input", + "type": "none" + }, + { + "name": "C(21)", + "direction": "input", + "type": "none" + }, + { + "name": "C(20)", + "direction": "input", + "type": "none" + }, + { + "name": "C(19)", + "direction": "input", + "type": "none" + }, + { + "name": "C(18)", + "direction": "input", + "type": "none" + }, + { + "name": "C(17)", + "direction": "input", + "type": "none" + }, + { + "name": "C(16)", + "direction": "input", + "type": "none" + }, + { + "name": "C(15)", + "direction": "input", + "type": "none" + }, + { + "name": "C(14)", + "direction": "input", + "type": "none" + }, + { + "name": "C(13)", + "direction": "input", + "type": "none" + }, + { + "name": "C(12)", + "direction": "input", + "type": "none" + }, + { + "name": "C(11)", + "direction": "input", + "type": "none" + }, + { + "name": "C(10)", + "direction": "input", + "type": "none" + }, + { + "name": "C(9)", + "direction": "input", + "type": "none" + }, + { + "name": "C(8)", + "direction": "input", + "type": "none" + }, + { + "name": "C(7)", + "direction": "input", + "type": "none" + }, + { + "name": "C(6)", + "direction": "input", + "type": "none" + }, + { + "name": "C(5)", + "direction": "input", + "type": "none" + }, + { + "name": "C(4)", + "direction": "input", + "type": "none" + }, + { + "name": "C(3)", + "direction": "input", + "type": "none" + }, + { + "name": "C(2)", + "direction": "input", + "type": "none" + }, + { + "name": "C(1)", + "direction": "input", + "type": "none" + }, + { + "name": "C(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "D(17)", + "direction": "input", + "type": "none" + }, + { + "name": "D(16)", + "direction": "input", + "type": "none" + }, + { + "name": "D(15)", + "direction": "input", + "type": "none" + }, + { + "name": "D(14)", + "direction": "input", + "type": "none" + }, + { + "name": "D(13)", + "direction": "input", + "type": "none" + }, + { + "name": "D(12)", + "direction": "input", + "type": "none" + }, + { + "name": "D(11)", + "direction": "input", + "type": "none" + }, + { + "name": "D(10)", + "direction": "input", + "type": "none" + }, + { + "name": "D(9)", + "direction": "input", + "type": "none" + }, + { + "name": "D(8)", + "direction": "input", + "type": "none" + }, + { + "name": "D(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OPMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "OPMODE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "PCIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CECARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CECARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEOPMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEOPMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTCARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTCARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTOPMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTOPMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "BCOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "M", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 35, + "pins": [ + { + "name": "M(35)", + "direction": "output", + "type": "none" + }, + { + "name": "M(34)", + "direction": "output", + "type": "none" + }, + { + "name": "M(33)", + "direction": "output", + "type": "none" + }, + { + "name": "M(32)", + "direction": "output", + "type": "none" + }, + { + "name": "M(31)", + "direction": "output", + "type": "none" + }, + { + "name": "M(30)", + "direction": "output", + "type": "none" + }, + { + "name": "M(29)", + "direction": "output", + "type": "none" + }, + { + "name": "M(28)", + "direction": "output", + "type": "none" + }, + { + "name": "M(27)", + "direction": "output", + "type": "none" + }, + { + "name": "M(26)", + "direction": "output", + "type": "none" + }, + { + "name": "M(25)", + "direction": "output", + "type": "none" + }, + { + "name": "M(24)", + "direction": "output", + "type": "none" + }, + { + "name": "M(23)", + "direction": "output", + "type": "none" + }, + { + "name": "M(22)", + "direction": "output", + "type": "none" + }, + { + "name": "M(21)", + "direction": "output", + "type": "none" + }, + { + "name": "M(20)", + "direction": "output", + "type": "none" + }, + { + "name": "M(19)", + "direction": "output", + "type": "none" + }, + { + "name": "M(18)", + "direction": "output", + "type": "none" + }, + { + "name": "M(17)", + "direction": "output", + "type": "none" + }, + { + "name": "M(16)", + "direction": "output", + "type": "none" + }, + { + "name": "M(15)", + "direction": "output", + "type": "none" + }, + { + "name": "M(14)", + "direction": "output", + "type": "none" + }, + { + "name": "M(13)", + "direction": "output", + "type": "none" + }, + { + "name": "M(12)", + "direction": "output", + "type": "none" + }, + { + "name": "M(11)", + "direction": "output", + "type": "none" + }, + { + "name": "M(10)", + "direction": "output", + "type": "none" + }, + { + "name": "M(9)", + "direction": "output", + "type": "none" + }, + { + "name": "M(8)", + "direction": "output", + "type": "none" + }, + { + "name": "M(7)", + "direction": "output", + "type": "none" + }, + { + "name": "M(6)", + "direction": "output", + "type": "none" + }, + { + "name": "M(5)", + "direction": "output", + "type": "none" + }, + { + "name": "M(4)", + "direction": "output", + "type": "none" + }, + { + "name": "M(3)", + "direction": "output", + "type": "none" + }, + { + "name": "M(2)", + "direction": "output", + "type": "none" + }, + { + "name": "M(1)", + "direction": "output", + "type": "none" + }, + { + "name": "M(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "PCOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "P(47)", + "direction": "output", + "type": "none" + }, + { + "name": "P(46)", + "direction": "output", + "type": "none" + }, + { + "name": "P(45)", + "direction": "output", + "type": "none" + }, + { + "name": "P(44)", + "direction": "output", + "type": "none" + }, + { + "name": "P(43)", + "direction": "output", + "type": "none" + }, + { + "name": "P(42)", + "direction": "output", + "type": "none" + }, + { + "name": "P(41)", + "direction": "output", + "type": "none" + }, + { + "name": "P(40)", + "direction": "output", + "type": "none" + }, + { + "name": "P(39)", + "direction": "output", + "type": "none" + }, + { + "name": "P(38)", + "direction": "output", + "type": "none" + }, + { + "name": "P(37)", + "direction": "output", + "type": "none" + }, + { + "name": "P(36)", + "direction": "output", + "type": "none" + }, + { + "name": "P(35)", + "direction": "output", + "type": "none" + }, + { + "name": "P(34)", + "direction": "output", + "type": "none" + }, + { + "name": "P(33)", + "direction": "output", + "type": "none" + }, + { + "name": "P(32)", + "direction": "output", + "type": "none" + }, + { + "name": "P(31)", + "direction": "output", + "type": "none" + }, + { + "name": "P(30)", + "direction": "output", + "type": "none" + }, + { + "name": "P(29)", + "direction": "output", + "type": "none" + }, + { + "name": "P(28)", + "direction": "output", + "type": "none" + }, + { + "name": "P(27)", + "direction": "output", + "type": "none" + }, + { + "name": "P(26)", + "direction": "output", + "type": "none" + }, + { + "name": "P(25)", + "direction": "output", + "type": "none" + }, + { + "name": "P(24)", + "direction": "output", + "type": "none" + }, + { + "name": "P(23)", + "direction": "output", + "type": "none" + }, + { + "name": "P(22)", + "direction": "output", + "type": "none" + }, + { + "name": "P(21)", + "direction": "output", + "type": "none" + }, + { + "name": "P(20)", + "direction": "output", + "type": "none" + }, + { + "name": "P(19)", + "direction": "output", + "type": "none" + }, + { + "name": "P(18)", + "direction": "output", + "type": "none" + }, + { + "name": "P(17)", + "direction": "output", + "type": "none" + }, + { + "name": "P(16)", + "direction": "output", + "type": "none" + }, + { + "name": "P(15)", + "direction": "output", + "type": "none" + }, + { + "name": "P(14)", + "direction": "output", + "type": "none" + }, + { + "name": "P(13)", + "direction": "output", + "type": "none" + }, + { + "name": "P(12)", + "direction": "output", + "type": "none" + }, + { + "name": "P(11)", + "direction": "output", + "type": "none" + }, + { + "name": "P(10)", + "direction": "output", + "type": "none" + }, + { + "name": "P(9)", + "direction": "output", + "type": "none" + }, + { + "name": "P(8)", + "direction": "output", + "type": "none" + }, + { + "name": "P(7)", + "direction": "output", + "type": "none" + }, + { + "name": "P(6)", + "direction": "output", + "type": "none" + }, + { + "name": "P(5)", + "direction": "output", + "type": "none" + }, + { + "name": "P(4)", + "direction": "output", + "type": "none" + }, + { + "name": "P(3)", + "direction": "output", + "type": "none" + }, + { + "name": "P(2)", + "direction": "output", + "type": "none" + }, + { + "name": "P(1)", + "direction": "output", + "type": "none" + }, + { + "name": "P(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CARRYOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CARRYOUTF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYOUTF", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DSP48E1", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "A(29)", + "direction": "input", + "type": "none" + }, + { + "name": "A(28)", + "direction": "input", + "type": "none" + }, + { + "name": "A(27)", + "direction": "input", + "type": "none" + }, + { + "name": "A(26)", + "direction": "input", + "type": "none" + }, + { + "name": "A(25)", + "direction": "input", + "type": "none" + }, + { + "name": "A(24)", + "direction": "input", + "type": "none" + }, + { + "name": "A(23)", + "direction": "input", + "type": "none" + }, + { + "name": "A(22)", + "direction": "input", + "type": "none" + }, + { + "name": "A(21)", + "direction": "input", + "type": "none" + }, + { + "name": "A(20)", + "direction": "input", + "type": "none" + }, + { + "name": "A(19)", + "direction": "input", + "type": "none" + }, + { + "name": "A(18)", + "direction": "input", + "type": "none" + }, + { + "name": "A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ACIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "ACIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ALUMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "ALUMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ALUMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ALUMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ALUMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "BCIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "C(47)", + "direction": "input", + "type": "none" + }, + { + "name": "C(46)", + "direction": "input", + "type": "none" + }, + { + "name": "C(45)", + "direction": "input", + "type": "none" + }, + { + "name": "C(44)", + "direction": "input", + "type": "none" + }, + { + "name": "C(43)", + "direction": "input", + "type": "none" + }, + { + "name": "C(42)", + "direction": "input", + "type": "none" + }, + { + "name": "C(41)", + "direction": "input", + "type": "none" + }, + { + "name": "C(40)", + "direction": "input", + "type": "none" + }, + { + "name": "C(39)", + "direction": "input", + "type": "none" + }, + { + "name": "C(38)", + "direction": "input", + "type": "none" + }, + { + "name": "C(37)", + "direction": "input", + "type": "none" + }, + { + "name": "C(36)", + "direction": "input", + "type": "none" + }, + { + "name": "C(35)", + "direction": "input", + "type": "none" + }, + { + "name": "C(34)", + "direction": "input", + "type": "none" + }, + { + "name": "C(33)", + "direction": "input", + "type": "none" + }, + { + "name": "C(32)", + "direction": "input", + "type": "none" + }, + { + "name": "C(31)", + "direction": "input", + "type": "none" + }, + { + "name": "C(30)", + "direction": "input", + "type": "none" + }, + { + "name": "C(29)", + "direction": "input", + "type": "none" + }, + { + "name": "C(28)", + "direction": "input", + "type": "none" + }, + { + "name": "C(27)", + "direction": "input", + "type": "none" + }, + { + "name": "C(26)", + "direction": "input", + "type": "none" + }, + { + "name": "C(25)", + "direction": "input", + "type": "none" + }, + { + "name": "C(24)", + "direction": "input", + "type": "none" + }, + { + "name": "C(23)", + "direction": "input", + "type": "none" + }, + { + "name": "C(22)", + "direction": "input", + "type": "none" + }, + { + "name": "C(21)", + "direction": "input", + "type": "none" + }, + { + "name": "C(20)", + "direction": "input", + "type": "none" + }, + { + "name": "C(19)", + "direction": "input", + "type": "none" + }, + { + "name": "C(18)", + "direction": "input", + "type": "none" + }, + { + "name": "C(17)", + "direction": "input", + "type": "none" + }, + { + "name": "C(16)", + "direction": "input", + "type": "none" + }, + { + "name": "C(15)", + "direction": "input", + "type": "none" + }, + { + "name": "C(14)", + "direction": "input", + "type": "none" + }, + { + "name": "C(13)", + "direction": "input", + "type": "none" + }, + { + "name": "C(12)", + "direction": "input", + "type": "none" + }, + { + "name": "C(11)", + "direction": "input", + "type": "none" + }, + { + "name": "C(10)", + "direction": "input", + "type": "none" + }, + { + "name": "C(9)", + "direction": "input", + "type": "none" + }, + { + "name": "C(8)", + "direction": "input", + "type": "none" + }, + { + "name": "C(7)", + "direction": "input", + "type": "none" + }, + { + "name": "C(6)", + "direction": "input", + "type": "none" + }, + { + "name": "C(5)", + "direction": "input", + "type": "none" + }, + { + "name": "C(4)", + "direction": "input", + "type": "none" + }, + { + "name": "C(3)", + "direction": "input", + "type": "none" + }, + { + "name": "C(2)", + "direction": "input", + "type": "none" + }, + { + "name": "C(1)", + "direction": "input", + "type": "none" + }, + { + "name": "C(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYCASCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYCASCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYINSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CARRYINSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CARRYINSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CARRYINSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEA1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEA2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEALUMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEALUMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEB1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEB2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CECARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CECARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CECTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CECTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEINMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEINMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 24, + "pins": [ + { + "name": "D(24)", + "direction": "input", + "type": "none" + }, + { + "name": "D(23)", + "direction": "input", + "type": "none" + }, + { + "name": "D(22)", + "direction": "input", + "type": "none" + }, + { + "name": "D(21)", + "direction": "input", + "type": "none" + }, + { + "name": "D(20)", + "direction": "input", + "type": "none" + }, + { + "name": "D(19)", + "direction": "input", + "type": "none" + }, + { + "name": "D(18)", + "direction": "input", + "type": "none" + }, + { + "name": "D(17)", + "direction": "input", + "type": "none" + }, + { + "name": "D(16)", + "direction": "input", + "type": "none" + }, + { + "name": "D(15)", + "direction": "input", + "type": "none" + }, + { + "name": "D(14)", + "direction": "input", + "type": "none" + }, + { + "name": "D(13)", + "direction": "input", + "type": "none" + }, + { + "name": "D(12)", + "direction": "input", + "type": "none" + }, + { + "name": "D(11)", + "direction": "input", + "type": "none" + }, + { + "name": "D(10)", + "direction": "input", + "type": "none" + }, + { + "name": "D(9)", + "direction": "input", + "type": "none" + }, + { + "name": "D(8)", + "direction": "input", + "type": "none" + }, + { + "name": "D(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "INMODE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MULTSIGNIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MULTSIGNIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OPMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "OPMODE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "PCIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTALLCARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTALLCARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTALUMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTALUMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTCTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTINMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTINMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ACOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "ACOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "BCOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CARRYCASCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYCASCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CARRYOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CARRYOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CARRYOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CARRYOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CARRYOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MULTSIGNOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MULTSIGNOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OVERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OVERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "P(47)", + "direction": "output", + "type": "none" + }, + { + "name": "P(46)", + "direction": "output", + "type": "none" + }, + { + "name": "P(45)", + "direction": "output", + "type": "none" + }, + { + "name": "P(44)", + "direction": "output", + "type": "none" + }, + { + "name": "P(43)", + "direction": "output", + "type": "none" + }, + { + "name": "P(42)", + "direction": "output", + "type": "none" + }, + { + "name": "P(41)", + "direction": "output", + "type": "none" + }, + { + "name": "P(40)", + "direction": "output", + "type": "none" + }, + { + "name": "P(39)", + "direction": "output", + "type": "none" + }, + { + "name": "P(38)", + "direction": "output", + "type": "none" + }, + { + "name": "P(37)", + "direction": "output", + "type": "none" + }, + { + "name": "P(36)", + "direction": "output", + "type": "none" + }, + { + "name": "P(35)", + "direction": "output", + "type": "none" + }, + { + "name": "P(34)", + "direction": "output", + "type": "none" + }, + { + "name": "P(33)", + "direction": "output", + "type": "none" + }, + { + "name": "P(32)", + "direction": "output", + "type": "none" + }, + { + "name": "P(31)", + "direction": "output", + "type": "none" + }, + { + "name": "P(30)", + "direction": "output", + "type": "none" + }, + { + "name": "P(29)", + "direction": "output", + "type": "none" + }, + { + "name": "P(28)", + "direction": "output", + "type": "none" + }, + { + "name": "P(27)", + "direction": "output", + "type": "none" + }, + { + "name": "P(26)", + "direction": "output", + "type": "none" + }, + { + "name": "P(25)", + "direction": "output", + "type": "none" + }, + { + "name": "P(24)", + "direction": "output", + "type": "none" + }, + { + "name": "P(23)", + "direction": "output", + "type": "none" + }, + { + "name": "P(22)", + "direction": "output", + "type": "none" + }, + { + "name": "P(21)", + "direction": "output", + "type": "none" + }, + { + "name": "P(20)", + "direction": "output", + "type": "none" + }, + { + "name": "P(19)", + "direction": "output", + "type": "none" + }, + { + "name": "P(18)", + "direction": "output", + "type": "none" + }, + { + "name": "P(17)", + "direction": "output", + "type": "none" + }, + { + "name": "P(16)", + "direction": "output", + "type": "none" + }, + { + "name": "P(15)", + "direction": "output", + "type": "none" + }, + { + "name": "P(14)", + "direction": "output", + "type": "none" + }, + { + "name": "P(13)", + "direction": "output", + "type": "none" + }, + { + "name": "P(12)", + "direction": "output", + "type": "none" + }, + { + "name": "P(11)", + "direction": "output", + "type": "none" + }, + { + "name": "P(10)", + "direction": "output", + "type": "none" + }, + { + "name": "P(9)", + "direction": "output", + "type": "none" + }, + { + "name": "P(8)", + "direction": "output", + "type": "none" + }, + { + "name": "P(7)", + "direction": "output", + "type": "none" + }, + { + "name": "P(6)", + "direction": "output", + "type": "none" + }, + { + "name": "P(5)", + "direction": "output", + "type": "none" + }, + { + "name": "P(4)", + "direction": "output", + "type": "none" + }, + { + "name": "P(3)", + "direction": "output", + "type": "none" + }, + { + "name": "P(2)", + "direction": "output", + "type": "none" + }, + { + "name": "P(1)", + "direction": "output", + "type": "none" + }, + { + "name": "P(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PATTERNBDETECT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PATTERNBDETECT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PATTERNDETECT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PATTERNDETECT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "PCOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UNDERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UNDERFLOW", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUF_INTERMDISABLE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IDELAYCTRL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDY", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_INTERMDISABLE_INT", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTXE2_COMMON", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGPDB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGPDB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLLOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLLOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLLOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLLOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLOUTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLOUTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RCALENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCALENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "QPLLRSVD1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLREFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "QPLLREFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLREFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLREFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "BGRCALOVRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "QPLLRSVD2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLFBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLFBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLOUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLOUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLREFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLREFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLDMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLDMONITOR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ISERDES", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BITSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BITSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDIV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DLYCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DLYCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DLYINC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DLYINC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DLYRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DLYRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFDS_GTE4", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "TX_BITSLICE", + "types": [ + "sequential" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_VTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_VTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST_DLY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST_DLY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_IN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TBYTE_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TBYTE_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "T_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T_OUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUF_ANALOG", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM32X16DR8", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "ADDRA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRC(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRG(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRH(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOA", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOH(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMB36E1", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "CLKARDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKARDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKBWRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKBWRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENARDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENARDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENBWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENBWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCEAREGCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCEAREGCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTRAMARSTRAM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTRAMARSTRAM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTRAMB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTRAMB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREGARSTREG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREGARSTREG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREGB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREGB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASCADEINA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASCADEINA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASCADEINB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASCADEINB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECTDBITERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECTDBITERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECTSBITERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECTSBITERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRARDADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "ADDRARDADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRBWRADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "ADDRBWRADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIADI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DIADI(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIBDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DIBDI(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIPADIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DIPADIP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPADIP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPADIP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPADIP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIPBDIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DIPBDIP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPBDIP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPBDIP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPBDIP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "WEA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEBWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "WEBWE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASCADEOUTA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASCADEOUTA", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASCADEOUTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASCADEOUTB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBITERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBITERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOADO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DOADO(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOBDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DOBDO(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOPADOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DOPADOP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPADOP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPADOP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPADOP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOPBDOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DOPBDOP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPBDOP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPBDOP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPBDOP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ECCPARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "ECCPARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDADDRECC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "RDADDRECC(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RDADDRECC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBITERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBITERR", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFTDS_DCIEN", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "SIM_CONFIGE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CSB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CSB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "M", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "M(2)", + "direction": "input", + "type": "none" + }, + { + "name": "M(1)", + "direction": "input", + "type": "none" + }, + { + "name": "M(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PROGB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PROGB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDWRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDWRB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CSOB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CSOB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DONE", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DONE", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "D(31)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(30)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(29)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(28)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(27)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(26)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(25)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(24)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(23)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(22)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(21)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(20)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(19)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(18)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(17)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(16)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(15)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(14)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(13)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(12)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(11)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(10)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(9)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "INITB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INITB", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUFDS_INTERMDISABLE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "IOB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IOB", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFGCTRL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IGNORE0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IGNORE0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IGNORE1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IGNORE1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM64X1D", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SPO", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "CAPTUREE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CAP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + } + ] + }, + { + "name": "FIFO18E2", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "CASDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CASDIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDINP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CASDINP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUXEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUXEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASNXTRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASNXTRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUXEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUXEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASPRVEMPTY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASPRVEMPTY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DINP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DINP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DINP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DINP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DINP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SLEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SLEEP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CASDOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASDOUTP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CASDOUTP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASNXTEMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASNXTEMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASPRVRDEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASPRVRDEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUTP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DOUTP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PROGEMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PROGEMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PROGFULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PROGFULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "RDCOUNT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDRSTBUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDRSTBUSY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "WRCOUNT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRRSTBUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRRSTBUSY", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IDELAYE2_FINEDELAY", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CINVCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CINVCTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IDATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IDATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IFDLY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "IFDLY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "IFDLY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "IFDLY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LDPIPEEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LDPIPEEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFG", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "I" + } + ] + } + ] + }, + { + "name": "BUFMR", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ICAPE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CSIB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CSIB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "I(31)", + "direction": "input", + "type": "none" + }, + { + "name": "I(30)", + "direction": "input", + "type": "none" + }, + { + "name": "I(29)", + "direction": "input", + "type": "none" + }, + { + "name": "I(28)", + "direction": "input", + "type": "none" + }, + { + "name": "I(27)", + "direction": "input", + "type": "none" + }, + { + "name": "I(26)", + "direction": "input", + "type": "none" + }, + { + "name": "I(25)", + "direction": "input", + "type": "none" + }, + { + "name": "I(24)", + "direction": "input", + "type": "none" + }, + { + "name": "I(23)", + "direction": "input", + "type": "none" + }, + { + "name": "I(22)", + "direction": "input", + "type": "none" + }, + { + "name": "I(21)", + "direction": "input", + "type": "none" + }, + { + "name": "I(20)", + "direction": "input", + "type": "none" + }, + { + "name": "I(19)", + "direction": "input", + "type": "none" + }, + { + "name": "I(18)", + "direction": "input", + "type": "none" + }, + { + "name": "I(17)", + "direction": "input", + "type": "none" + }, + { + "name": "I(16)", + "direction": "input", + "type": "none" + }, + { + "name": "I(15)", + "direction": "input", + "type": "none" + }, + { + "name": "I(14)", + "direction": "input", + "type": "none" + }, + { + "name": "I(13)", + "direction": "input", + "type": "none" + }, + { + "name": "I(12)", + "direction": "input", + "type": "none" + }, + { + "name": "I(11)", + "direction": "input", + "type": "none" + }, + { + "name": "I(10)", + "direction": "input", + "type": "none" + }, + { + "name": "I(9)", + "direction": "input", + "type": "none" + }, + { + "name": "I(8)", + "direction": "input", + "type": "none" + }, + { + "name": "I(7)", + "direction": "input", + "type": "none" + }, + { + "name": "I(6)", + "direction": "input", + "type": "none" + }, + { + "name": "I(5)", + "direction": "input", + "type": "none" + }, + { + "name": "I(4)", + "direction": "input", + "type": "none" + }, + { + "name": "I(3)", + "direction": "input", + "type": "none" + }, + { + "name": "I(2)", + "direction": "input", + "type": "none" + }, + { + "name": "I(1)", + "direction": "input", + "type": "none" + }, + { + "name": "I(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDWRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDWRB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AVAIL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AVAIL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "O(31)", + "direction": "output", + "type": "none" + }, + { + "name": "O(30)", + "direction": "output", + "type": "none" + }, + { + "name": "O(29)", + "direction": "output", + "type": "none" + }, + { + "name": "O(28)", + "direction": "output", + "type": "none" + }, + { + "name": "O(27)", + "direction": "output", + "type": "none" + }, + { + "name": "O(26)", + "direction": "output", + "type": "none" + }, + { + "name": "O(25)", + "direction": "output", + "type": "none" + }, + { + "name": "O(24)", + "direction": "output", + "type": "none" + }, + { + "name": "O(23)", + "direction": "output", + "type": "none" + }, + { + "name": "O(22)", + "direction": "output", + "type": "none" + }, + { + "name": "O(21)", + "direction": "output", + "type": "none" + }, + { + "name": "O(20)", + "direction": "output", + "type": "none" + }, + { + "name": "O(19)", + "direction": "output", + "type": "none" + }, + { + "name": "O(18)", + "direction": "output", + "type": "none" + }, + { + "name": "O(17)", + "direction": "output", + "type": "none" + }, + { + "name": "O(16)", + "direction": "output", + "type": "none" + }, + { + "name": "O(15)", + "direction": "output", + "type": "none" + }, + { + "name": "O(14)", + "direction": "output", + "type": "none" + }, + { + "name": "O(13)", + "direction": "output", + "type": "none" + }, + { + "name": "O(12)", + "direction": "output", + "type": "none" + }, + { + "name": "O(11)", + "direction": "output", + "type": "none" + }, + { + "name": "O(10)", + "direction": "output", + "type": "none" + }, + { + "name": "O(9)", + "direction": "output", + "type": "none" + }, + { + "name": "O(8)", + "direction": "output", + "type": "none" + }, + { + "name": "O(7)", + "direction": "output", + "type": "none" + }, + { + "name": "O(6)", + "direction": "output", + "type": "none" + }, + { + "name": "O(5)", + "direction": "output", + "type": "none" + }, + { + "name": "O(4)", + "direction": "output", + "type": "none" + }, + { + "name": "O(3)", + "direction": "output", + "type": "none" + }, + { + "name": "O(2)", + "direction": "output", + "type": "none" + }, + { + "name": "O(1)", + "direction": "output", + "type": "none" + }, + { + "name": "O(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PRDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PRDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PRERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PRERROR", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OSERDESE1", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDIV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKPERF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKPERF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKPERFDELAY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKPERFDELAY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ODV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ODV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OCBEXTEND", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCBEXTEND", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OFB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OFB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TFB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TFB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TQ", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTYE4_CHANNEL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CDRSTEPDIR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDRSTEPDIR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CDRSTEPSQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDRSTEPSQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CDRSTEPSX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDRSTEPSX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLFREQLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLFREQLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CPLLREFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRPADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FREQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FREQOS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "GTRSVD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRXRESETSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRXRESETSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTTXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTTXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTTXRESETSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTTXRESETSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTYRXN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTYRXN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTYRXP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTYRXP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INCPCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INCPCTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOOPBACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "LOOPBACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIEEQRXEQADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEEQRXEQADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERSTIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERSTIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERSTTXSYNCSTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERSTTXSYNCSTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERRATEDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERRATEDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0FREQLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0FREQLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1FREQLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1FREQLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETOVRD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXAFECFOKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXAFECFOKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDLEVEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXCHBONDLEVEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCKCALRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCKCALRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCKCALSTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RXCKCALSTART(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECFOKFCNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXDFECFOKFCNUM(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFECFOKFCNUM(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFECFOKFCNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFECFOKFCNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECFOKFEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFECFOKFEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECFOKFPULSE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFECFOKFPULSE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECFOKHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFECFOKHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECFOKOVREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFECFOKOVREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEKHHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEKHHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEKHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEKHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP10HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP10HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP10OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP10OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP11HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP11HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP11OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP11OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP12HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP12HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP12OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP12OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP13HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP13HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP13OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP13OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP14HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP14HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP14OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP14OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP15HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP15HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP15OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP15OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP6HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP6HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP6OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP6OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP7HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP7HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP7OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP7OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP8HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP8HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP8OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP8OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP9HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP9HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP9OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP9OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXELECIDLEMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXELECIDLEMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXEQTRAINING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXEQTRAINING", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLATCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLATCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMGCHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMGCHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMGCOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMGCOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMONITORSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXMONITORSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXMONITORSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPLLCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPLLCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPLLCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXPRBSSEL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPROGDIVRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPROGDIVRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIPOUTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPOUTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIPPMA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPPMA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXTERMINATION", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXTERMINATION", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TSTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "TSTIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TX8B10BBYPASS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TXCTRL0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TXCTRL1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXCTRL2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TXDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATAEXTENDRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXDATAEXTENDRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDCCFORCESTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDCCFORCESTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDCCRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDCCRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXDEEMPH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDEEMPH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXDIFFCTRL(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "TXHEADER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXLATCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXLATCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXLFPSTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXLFPSTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXLFPSU2LPEXIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXLFPSU2LPEXIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXLFPSU3WAKE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXLFPSU3WAKE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMAINCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXMAINCURSOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMARGIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXMARGIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMUXDCDEXHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXMUXDCDEXHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMUXDCDORWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXMUXDCDORWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXONESZEROS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXONESZEROS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSTEPSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPIPPMSTEPSIZE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPISOPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPISOPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPLLCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPLLCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPLLCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPOSTCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXPRBSSEL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPRECURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPROGDIVRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPROGDIVRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSEQUENCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXSEQUENCE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSWING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSWING", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BUFGTCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BUFGTCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTCEMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTCEMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCEMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCEMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTDIV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "BUFGTDIV(8)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(7)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(6)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(5)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(4)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BUFGTRESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTRSTMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTRSTMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRSTMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRSTMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DMONITOROUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMONITOROUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONITOROUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTPOWERGOOD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTPOWERGOOD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTYTXN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTYTXN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTYTXP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTYTXP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEGEN3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERATEGEN3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERATEIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLLPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIERATEQPLLPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERATEQPLLPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLLRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIERATEQPLLRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERATEQPLLRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIESYNCTXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIESYNCTXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERGEN3RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERGEN3RDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERPHYSTATUSRST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERPHYSTATUSRST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERRATESTART", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERRATESTART", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PINRSRVDAS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PINRSRVDAS(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "POWERPRESENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "POWERPRESENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RESETEXCEPTION", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETEXCEPTION", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXBUFSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRPHDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRPHDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCKCALDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCKCALDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCLKCORCNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXCLKCORCNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCLKCORCNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RXCTRL0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RXCTRL1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCTRL2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCTRL3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RXDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAEXTENDRSVD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXDATAEXTENDRSVD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXDATAVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "RXHEADER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADERVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXHEADERVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADERVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXLFPSTRESETDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLFPSTRESETDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXLFPSU2LPEXITDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLFPSU2LPEXITDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXLFPSU3WAKEDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLFPSU3WAKEDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXMONITOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSLOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSLOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRGDIVRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRGDIVRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLKOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRECCLKOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIDERDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDERDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPOUTCLKRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPOUTCLKRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPPMARDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPPMARDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTARTOFSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSTARTOFSEQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTARTOFSEQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXDCCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDCCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPRGDIVRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRGDIVRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "SRLC32E", + "types": [ + "sequential" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q31", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q31", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ODDRE1", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ISERDES_NODELAY", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BITSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BITSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDIV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "Q1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM64X8SW", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "WSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "O(7)", + "direction": "output", + "type": "none" + }, + { + "name": "O(6)", + "direction": "output", + "type": "none" + }, + { + "name": "O(5)", + "direction": "output", + "type": "none" + }, + { + "name": "O(4)", + "direction": "output", + "type": "none" + }, + { + "name": "O(3)", + "direction": "output", + "type": "none" + }, + { + "name": "O(2)", + "direction": "output", + "type": "none" + }, + { + "name": "O(1)", + "direction": "output", + "type": "none" + }, + { + "name": "O(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "FE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CORE_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORE_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEBUG_CLK_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEBUG_CLK_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEBUG_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEBUG_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEBUG_SEL_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DEBUG_SEL_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DEBUG_SEL_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DEBUG_SEL_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DEBUG_SEL_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "M_AXIS_DOUT_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "M_AXIS_DOUT_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "M_AXIS_DOUT_TREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "M_AXIS_DOUT_TREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "M_AXIS_STATUS_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "M_AXIS_STATUS_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "M_AXIS_STATUS_TREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "M_AXIS_STATUS_TREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SPARE_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SPARE_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SPARE_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_CTRL_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_CTRL_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_CTRL_TDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "S_AXIS_CTRL_TDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_CTRL_TDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_CTRL_TVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_CTRL_TVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DIN_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DIN_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DIN_TDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 511, + "pins": [ + { + "name": "S_AXIS_DIN_TDATA(511)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(510)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(509)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(508)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(507)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(506)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(505)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(504)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(503)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(502)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(501)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(500)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(499)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(498)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(497)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(496)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(495)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(494)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(493)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(492)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(491)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(490)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(489)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(488)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(487)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(486)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(485)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(484)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(483)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(482)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(481)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(480)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(479)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(478)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(477)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(476)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(475)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(474)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(473)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(472)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(471)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(470)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(469)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(468)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(467)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(466)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(465)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(464)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(463)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(462)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(461)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(460)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(459)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(458)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(457)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(456)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(455)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(454)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(453)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(452)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(451)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(450)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(449)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(448)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(447)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(446)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(445)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(444)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(443)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(442)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(441)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(440)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(439)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(438)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(437)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(436)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(435)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(434)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(433)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(432)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(431)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(430)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(429)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(428)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(427)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(426)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(425)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(424)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(423)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(422)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(421)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(420)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(419)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(418)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(417)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(416)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(415)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(414)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(413)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(412)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(411)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(410)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(409)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(408)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(407)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(406)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(405)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(404)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(403)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(402)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(401)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(400)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(399)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(398)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(397)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(396)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(395)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(394)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(393)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(392)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(391)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(390)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(389)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(388)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(387)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(386)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(385)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(384)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(383)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(382)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(381)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(380)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(379)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(378)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(377)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(376)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(375)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(374)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(373)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(372)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(371)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(370)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(369)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(368)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(367)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(366)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(365)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(364)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(363)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(362)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(361)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(360)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(359)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(358)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(357)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(356)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(355)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(354)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(353)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(352)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(351)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(350)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(349)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(348)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(347)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(346)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(345)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(344)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(343)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(342)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(341)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(340)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(339)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(338)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(337)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(336)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(335)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(334)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(333)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(332)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(331)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(330)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(329)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(328)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(327)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(326)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(325)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(324)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(323)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(322)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(321)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(320)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(319)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(318)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(317)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(316)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(315)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(314)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(313)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(312)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(311)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(310)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(309)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(308)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(307)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(306)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(305)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(304)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(303)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(302)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(301)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(300)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(299)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(298)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(297)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(296)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(295)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(294)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(293)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(292)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(291)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(290)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(289)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(288)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(287)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(286)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(285)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(284)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(283)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(282)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(281)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(280)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(279)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(278)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(277)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(276)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(275)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(274)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(273)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(272)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(271)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(270)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(269)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(268)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(267)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(266)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(265)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(264)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(263)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(262)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(261)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(260)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(259)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(258)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(257)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(256)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_TDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DIN_TLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DIN_TLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DIN_TVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DIN_TVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DIN_WORDS_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DIN_WORDS_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "S_AXIS_DIN_WORDS_TDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DIN_WORDS_TDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DIN_WORDS_TLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DIN_WORDS_TLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DIN_WORDS_TVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DIN_WORDS_TVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DOUT_WORDS_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DOUT_WORDS_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "S_AXIS_DOUT_WORDS_TDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXIS_DOUT_WORDS_TDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DOUT_WORDS_TLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DOUT_WORDS_TLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DOUT_WORDS_TVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DOUT_WORDS_TVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXI_ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXI_ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "S_AXI_ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXI_ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXI_AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "S_AXI_AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXI_AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXI_BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXI_RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXI_WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "S_AXI_WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "S_AXI_WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S_AXI_WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEBUG_DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 399, + "pins": [ + { + "name": "DEBUG_DOUT(399)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(398)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(397)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(396)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(395)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(394)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(393)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(392)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(391)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(390)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(389)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(388)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(387)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(386)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(385)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(384)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(383)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(382)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(381)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(380)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(379)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(378)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(377)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(376)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(375)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(374)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(373)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(372)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(371)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(370)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(369)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(368)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(367)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(366)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(365)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(364)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(363)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(362)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(361)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(360)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(359)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(358)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(357)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(356)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(355)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(354)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(353)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(352)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(351)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(350)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(349)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(348)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(347)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(346)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(345)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(344)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(343)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(342)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(341)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(340)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(339)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(338)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(337)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(336)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(335)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(334)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(333)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(332)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(331)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(330)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(329)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(328)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(327)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(326)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(325)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(324)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(323)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(322)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(321)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(320)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(319)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(318)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(317)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(316)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(315)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(314)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(313)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(312)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(311)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(310)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(309)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(308)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(307)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(306)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(305)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(304)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(303)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(302)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(301)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(300)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(299)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(298)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(297)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(296)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(295)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(294)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(293)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(292)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(291)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(290)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(289)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(288)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(287)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(286)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(285)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(284)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(283)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(282)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(281)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(280)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(279)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(278)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(277)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(276)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(275)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(274)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(273)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(272)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(271)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(270)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(269)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(268)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(267)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(266)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(265)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(264)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(263)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(262)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(261)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(260)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(259)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(258)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(257)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(256)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(255)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(254)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(253)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(252)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(251)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(250)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(249)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(248)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(247)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(246)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(245)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(244)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(243)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(242)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(241)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(240)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(239)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(238)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(237)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(236)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(235)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(234)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(233)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(232)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(231)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(230)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(229)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(228)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(227)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(226)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(225)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(224)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(223)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(222)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(221)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(220)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(219)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(218)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(217)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(216)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(215)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(214)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(213)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(212)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(211)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(210)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(209)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(208)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(207)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(206)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(205)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(204)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(203)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(202)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(201)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(200)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(199)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(198)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(197)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(196)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(195)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(194)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(193)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(192)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(191)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(190)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(189)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(188)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(187)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(186)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(185)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(184)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(183)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(182)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(181)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(180)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(179)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(178)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(177)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(176)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(175)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(174)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(173)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(172)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(171)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(170)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(169)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(168)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(167)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(166)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(165)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(164)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(163)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(162)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(161)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(160)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(159)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(158)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(157)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(156)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(155)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(154)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(153)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(152)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(151)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(150)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(149)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(148)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(147)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(146)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(145)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(144)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(143)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(142)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(141)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(140)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(139)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(138)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(137)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(136)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(135)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(134)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(133)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(132)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(131)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(130)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(129)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(128)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DEBUG_DOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DEBUG_PHASE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEBUG_PHASE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "INTERRUPT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INTERRUPT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "M_AXIS_DOUT_TDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 511, + "pins": [ + { + "name": "M_AXIS_DOUT_TDATA(511)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(510)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(509)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(508)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(507)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(506)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(505)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(504)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(503)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(502)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(501)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(500)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(499)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(498)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(497)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(496)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(495)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(494)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(493)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(492)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(491)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(490)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(489)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(488)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(487)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(486)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(485)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(484)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(483)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(482)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(481)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(480)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(479)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(478)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(477)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(476)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(475)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(474)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(473)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(472)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(471)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(470)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(469)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(468)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(467)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(466)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(465)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(464)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(463)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(462)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(461)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(460)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(459)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(458)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(457)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(456)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(455)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(454)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(453)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(452)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(451)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(450)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(449)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(448)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(447)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(446)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(445)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(444)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(443)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(442)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(441)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(440)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(439)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(438)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(437)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(436)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(435)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(434)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(433)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(432)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(431)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(430)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(429)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(428)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(427)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(426)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(425)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(424)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(423)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(422)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(421)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(420)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(419)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(418)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(417)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(416)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(415)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(414)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(413)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(412)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(411)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(410)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(409)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(408)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(407)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(406)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(405)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(404)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(403)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(402)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(401)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(400)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(399)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(398)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(397)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(396)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(395)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(394)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(393)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(392)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(391)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(390)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(389)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(388)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(387)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(386)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(385)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(384)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(383)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(382)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(381)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(380)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(379)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(378)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(377)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(376)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(375)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(374)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(373)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(372)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(371)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(370)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(369)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(368)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(367)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(366)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(365)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(364)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(363)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(362)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(361)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(360)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(359)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(358)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(357)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(356)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(355)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(354)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(353)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(352)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(351)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(350)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(349)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(348)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(347)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(346)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(345)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(344)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(343)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(342)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(341)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(340)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(339)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(338)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(337)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(336)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(335)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(334)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(333)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(332)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(331)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(330)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(329)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(328)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(327)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(326)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(325)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(324)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(323)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(322)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(321)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(320)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(319)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(318)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(317)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(316)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(315)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(314)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(313)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(312)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(311)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(310)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(309)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(308)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(307)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(306)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(305)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(304)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(303)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(302)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(301)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(300)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(299)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(298)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(297)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(296)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(295)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(294)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(293)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(292)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(291)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(290)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(289)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(288)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(287)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(286)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(285)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(284)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(283)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(282)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(281)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(280)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(279)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(278)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(277)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(276)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(275)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(274)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(273)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(272)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(271)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(270)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(269)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(268)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(267)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(266)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(265)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(264)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(263)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(262)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(261)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(260)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(259)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(258)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(257)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(256)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_DOUT_TDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "M_AXIS_DOUT_TLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "M_AXIS_DOUT_TLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "M_AXIS_DOUT_TVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "M_AXIS_DOUT_TVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "M_AXIS_STATUS_TDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "M_AXIS_STATUS_TDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "M_AXIS_STATUS_TDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "M_AXIS_STATUS_TVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "M_AXIS_STATUS_TVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SPARE_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "SPARE_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SPARE_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_CTRL_TREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_CTRL_TREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DIN_TREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DIN_TREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DIN_WORDS_TREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DIN_WORDS_TREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "S_AXIS_DOUT_WORDS_TREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXIS_DOUT_WORDS_TREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "S_AXI_ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "S_AXI_AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "S_AXI_BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "S_AXI_RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "S_AXI_RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "S_AXI_RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "S_AXI_RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "S_AXI_WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S_AXI_WREADY", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUFDS_DIFF_OUT_INTERMDISABLE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "IOB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IOB", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "SRLC16E", + "types": [ + "sequential" + ], + "pin_groups": [ + { + "name": "A0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q15", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DNA_PORTE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "READ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "READ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "VCU", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "INITPLVCUGASKETCLAMPCONTROLLVLSHVCCINTD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INITPLVCUGASKETCLAMPCONTROLLVLSHVCCINTD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUARADDRAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "PLVCUARADDRAXILITEAPB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARADDRAXILITEAPB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUARPROTAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PLVCUARPROTAXILITEAPB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARPROTAXILITEAPB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUARPROTAXILITEAPB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUARVALIDAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUARVALIDAXILITEAPB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUAWADDRAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "PLVCUAWADDRAXILITEAPB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWADDRAXILITEAPB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUAWPROTAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PLVCUAWPROTAXILITEAPB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWPROTAXILITEAPB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUAWPROTAXILITEAPB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUAWVALIDAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUAWVALIDAXILITEAPB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUAXIDECCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUAXIDECCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUAXIENCCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUAXIENCCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUAXILITECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUAXILITECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUAXIMCUCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUAXIMCUCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUBREADYAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUBREADYAXILITEAPB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUCORECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUCORECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECARREADY0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECARREADY0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECARREADY1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECARREADY1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECAWREADY0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECAWREADY0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECAWREADY1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECAWREADY1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECBID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLVCUDECBID0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECBID0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECBID0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECBID0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECBID1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLVCUDECBID1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECBID1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECBID1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECBID1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECBRESP0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLVCUDECBRESP0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECBRESP0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECBRESP1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLVCUDECBRESP1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECBRESP1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECBVALID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECBVALID0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECBVALID1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECBVALID1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECRDATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "PLVCUDECRDATA0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECRDATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "PLVCUDECRDATA1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRDATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECRID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLVCUDECRID0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRID0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRID0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRID0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECRID1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLVCUDECRID1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRID1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRID1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRID1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECRLAST0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECRLAST0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECRLAST1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECRLAST1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECRRESP0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLVCUDECRRESP0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRRESP0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECRRESP1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLVCUDECRRESP1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUDECRRESP1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECRVALID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECRVALID0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECRVALID1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECRVALID1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECWREADY0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECWREADY0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUDECWREADY1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUDECWREADY1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCALL2CRDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 319, + "pins": [ + { + "name": "PLVCUENCALL2CRDATA(319)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(318)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(317)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(316)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(315)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(314)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(313)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(312)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(311)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(310)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(309)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(308)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(307)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(306)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(305)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(304)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(303)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(302)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(301)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(300)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(299)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(298)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(297)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(296)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(295)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(294)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(293)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(292)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(291)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(290)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(289)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(288)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(287)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(286)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(285)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(284)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(283)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(282)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(281)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(280)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(279)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(278)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(277)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(276)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(275)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(274)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(273)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(272)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(271)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(270)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(269)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(268)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(267)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(266)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(265)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(264)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(263)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(262)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(261)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(260)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(259)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(258)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(257)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(256)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCALL2CRDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCALL2CRREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCALL2CRREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCARREADY0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCARREADY0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCARREADY1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCARREADY1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCAWREADY0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCAWREADY0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCAWREADY1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCAWREADY1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCBID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLVCUENCBID0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCBID0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCBID0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCBID0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCBID1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLVCUENCBID1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCBID1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCBID1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCBID1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCBRESP0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLVCUENCBRESP0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCBRESP0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCBRESP1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLVCUENCBRESP1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCBRESP1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCBVALID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCBVALID0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCBVALID1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCBVALID1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCL2CCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCL2CCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCRDATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "PLVCUENCRDATA0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCRDATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "PLVCUENCRDATA1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRDATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCRID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLVCUENCRID0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRID0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRID0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRID0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCRID1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLVCUENCRID1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRID1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRID1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRID1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCRLAST0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCRLAST0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCRLAST1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCRLAST1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCRRESP0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLVCUENCRRESP0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRRESP0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCRRESP1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLVCUENCRRESP1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUENCRRESP1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCRVALID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCRVALID0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCRVALID1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCRVALID1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCWREADY0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCWREADY0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUENCWREADY1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUENCWREADY1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUMCUCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCARREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCARREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCAWREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCAWREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCBID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCBID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCBID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCBID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCBRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCBRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCBRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCBVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCBVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCRDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCRDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCRID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCRID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCRLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCRLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCRRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCRRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUMCUMAXIICDCRRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCRVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCRVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUMCUMAXIICDCWREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUMCUMAXIICDCWREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUPLLREFCLKPL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUPLLREFCLKPL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCURAWRSTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCURAWRSTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCURREADYAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCURREADYAXILITEAPB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUWDATAAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PLVCUWDATAAXILITEAPB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWDATAAXILITEAPB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUWSTRBAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PLVCUWSTRBAXILITEAPB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWSTRBAXILITEAPB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWSTRBAXILITEAPB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLVCUWSTRBAXILITEAPB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLVCUWVALIDAXILITEAPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLVCUWVALIDAXILITEAPB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VCUPLARREADYAXILITEAPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLARREADYAXILITEAPB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLAWREADYAXILITEAPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLAWREADYAXILITEAPB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLBRESPAXILITEAPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLBRESPAXILITEAPB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLBRESPAXILITEAPB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLBVALIDAXILITEAPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLBVALIDAXILITEAPB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLCORESTATUSCLKPLL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLCORESTATUSCLKPLL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARADDR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "VCUPLDECARADDR0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARADDR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "VCUPLDECARADDR1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARADDR1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARBURST0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLDECARBURST0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARBURST0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARBURST1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLDECARBURST1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARBURST1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARCACHE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECARCACHE0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARCACHE0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARCACHE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARCACHE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARCACHE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECARCACHE1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARCACHE1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARCACHE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARCACHE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECARID0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARID0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARID0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARID0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECARID1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARID1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARID1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARID1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARLEN0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "VCUPLDECARLEN0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARLEN1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "VCUPLDECARLEN1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARLEN1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARPROT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECARPROT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARPROT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECARPROT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARQOS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECARQOS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARQOS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARQOS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARQOS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARQOS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECARQOS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARQOS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARQOS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARQOS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARSIZE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLDECARSIZE0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARSIZE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARSIZE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARSIZE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLDECARSIZE1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARSIZE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECARSIZE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARVALID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECARVALID0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECARVALID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECARVALID1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWADDR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "VCUPLDECAWADDR0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWADDR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "VCUPLDECAWADDR1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWADDR1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWBURST0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLDECAWBURST0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWBURST0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWBURST1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLDECAWBURST1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWBURST1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWCACHE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECAWCACHE0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWCACHE0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWCACHE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWCACHE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWCACHE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECAWCACHE1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWCACHE1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWCACHE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWCACHE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECAWID0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWID0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWID0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWID0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECAWID1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWID1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWID1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWID1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWLEN0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "VCUPLDECAWLEN0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWLEN1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "VCUPLDECAWLEN1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWLEN1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWPROT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECAWPROT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWPROT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECAWPROT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWQOS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECAWQOS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWQOS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWQOS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWQOS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWQOS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLDECAWQOS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWQOS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWQOS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWQOS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWSIZE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLDECAWSIZE0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWSIZE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWSIZE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWSIZE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLDECAWSIZE1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWSIZE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECAWSIZE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWVALID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECAWVALID0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECAWVALID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECAWVALID1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECBREADY0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECBREADY0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECBREADY1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECBREADY1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECRREADY0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECRREADY0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECRREADY1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECRREADY1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECWDATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "VCUPLDECWDATA0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECWDATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "VCUPLDECWDATA1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLDECWDATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECWLAST0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECWLAST0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECWLAST1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECWLAST1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECWVALID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECWVALID0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLDECWVALID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLDECWVALID1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCALL2CADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 16, + "pins": [ + { + "name": "VCUPLENCALL2CADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCALL2CRVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCALL2CRVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCALL2CWDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 319, + "pins": [ + { + "name": "VCUPLENCALL2CWDATA(319)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(318)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(317)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(316)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(315)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(314)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(313)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(312)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(311)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(310)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(309)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(308)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(307)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(306)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(305)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(304)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(303)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(302)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(301)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(300)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(299)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(298)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(297)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(296)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(295)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(294)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(293)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(292)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(291)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(290)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(289)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(288)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(287)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(286)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(285)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(284)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(283)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(282)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(281)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(280)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(279)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(278)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(277)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(276)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(275)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(274)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(273)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(272)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(271)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(270)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(269)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(268)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(267)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(266)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(265)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(264)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(263)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(262)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(261)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(260)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(259)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(258)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(257)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(256)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCALL2CWDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCALL2CWVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCALL2CWVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARADDR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "VCUPLENCARADDR0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARADDR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "VCUPLENCARADDR1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARADDR1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARBURST0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLENCARBURST0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARBURST0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARBURST1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLENCARBURST1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARBURST1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARCACHE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCARCACHE0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARCACHE0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARCACHE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARCACHE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARCACHE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCARCACHE1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARCACHE1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARCACHE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARCACHE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCARID0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARID0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARID0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARID0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCARID1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARID1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARID1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARID1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARLEN0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "VCUPLENCARLEN0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARLEN1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "VCUPLENCARLEN1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARLEN1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARPROT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCARPROT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARPROT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCARPROT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARQOS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCARQOS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARQOS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARQOS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARQOS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARQOS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCARQOS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARQOS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARQOS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARQOS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARSIZE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLENCARSIZE0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARSIZE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARSIZE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARSIZE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLENCARSIZE1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARSIZE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCARSIZE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARVALID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCARVALID0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCARVALID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCARVALID1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWADDR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "VCUPLENCAWADDR0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWADDR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "VCUPLENCAWADDR1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWADDR1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWBURST0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLENCAWBURST0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWBURST0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWBURST1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLENCAWBURST1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWBURST1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWCACHE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCAWCACHE0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWCACHE0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWCACHE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWCACHE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWCACHE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCAWCACHE1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWCACHE1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWCACHE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWCACHE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCAWID0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWID0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWID0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWID0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCAWID1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWID1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWID1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWID1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWLEN0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "VCUPLENCAWLEN0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWLEN1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "VCUPLENCAWLEN1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWLEN1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWPROT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCAWPROT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWPROT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCAWPROT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWQOS0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCAWQOS0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWQOS0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWQOS0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWQOS0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWQOS1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLENCAWQOS1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWQOS1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWQOS1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWQOS1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWSIZE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLENCAWSIZE0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWSIZE0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWSIZE0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWSIZE1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLENCAWSIZE1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWSIZE1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCAWSIZE1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWVALID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCAWVALID0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCAWVALID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCAWVALID1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCBREADY0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCBREADY0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCBREADY1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCBREADY1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCRREADY0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCRREADY0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCRREADY1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCRREADY1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCWDATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "VCUPLENCWDATA0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCWDATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "VCUPLENCWDATA1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLENCWDATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCWLAST0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCWLAST0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCWLAST1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCWLAST1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCWVALID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCWVALID0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLENCWVALID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLENCWVALID1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCARADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCARADDR(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCARBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCARBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCARCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCARCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCARID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCARID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCARLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCARLEN(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARLEN(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARLEN(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARLEN(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCARLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCARLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCARPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCARPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCARQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCARQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCARSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCARSIZE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCARSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCARVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCARVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 43, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCAWADDR(43)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(42)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(41)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(40)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(39)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(38)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(37)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(36)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(35)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(34)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(33)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(32)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCAWBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCAWBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCAWCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCAWCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCAWID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCAWID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCAWLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCAWLEN(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWLEN(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWLEN(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWLEN(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCAWLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCAWLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCAWPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCAWPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCAWQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCAWQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCAWSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCAWSIZE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCAWSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCAWVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCAWVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCBREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCBREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCRREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCRREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCWDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCWDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCWLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCWLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCWSTRB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCWSTRB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWSTRB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWSTRB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLMCUMAXIICDCWSTRB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUMAXIICDCWVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLMCUMAXIICDCWVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLMCUSTATUSCLKPLL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLMCUSTATUSCLKPLL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLPINTREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLPINTREQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLPLLSTATUSPLLLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLPLLSTATUSPLLLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLPWRSUPPLYSTATUSVCCAUX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLPWRSUPPLYSTATUSVCCAUX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLPWRSUPPLYSTATUSVCUINT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLPWRSUPPLYSTATUSVCUINT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLRDATAAXILITEAPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "VCUPLRDATAAXILITEAPB(31)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(30)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(29)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(28)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(27)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(26)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(25)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(24)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(23)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(22)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(21)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(20)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(19)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(18)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(17)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(16)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(15)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(14)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(13)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(12)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(11)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(10)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(9)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRDATAAXILITEAPB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLRRESPAXILITEAPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "VCUPLRRESPAXILITEAPB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "VCUPLRRESPAXILITEAPB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLRVALIDAXILITEAPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLRVALIDAXILITEAPB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VCUPLWREADYAXILITEAPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VCUPLWREADYAXILITEAPB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "FDCE", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((D & CE) | (IQ & (! CE)))", + "clocked_on": "C", + "clear_on": "CLR" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "CLR", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLR", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "FDCP", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "(D | IQ)", + "clocked_on": "C", + "clear_on": "CLR", + "preset_on": "PRE" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "PRE", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PRE", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "CLR", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLR", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "FDC", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "D", + "clocked_on": "C", + "clear_on": "CLR" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "CLR", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLR", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "ZHOLD_DELAY", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DLYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DLYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DLYFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DLYFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DLYIFF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DLYIFF", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "HBM_REF_CLK", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "REF_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REF_CLK", + "direction": "input", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_GTE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ODIV2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ODIV2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_INTERMDISABLE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "FRAME_ECCE4", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "FARSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "FARSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "FARSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ICAPBOTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ICAPBOTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ICAPTOPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ICAPTOPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CRCERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CRCERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ECCERRORNOTSINGLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ECCERRORNOTSINGLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ECCERRORSINGLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ECCERRORSINGLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ENDOFFRAME", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENDOFFRAME", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ENDOFSCAN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENDOFSCAN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FAR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "FAR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DPHY_DIFFINBUF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "HSRX_DISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "HSRX_DISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LPRX_DISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPRX_DISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "HSRX_O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "HSRX_O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LPRX_O_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPRX_O_N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LPRX_O_P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPRX_O_P", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RFDAC", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK_DIST_IN_NORTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_DIST_IN_NORTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_DIST_IN_SOUTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_DIST_IN_SOUTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_FIFO_LM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_FIFO_LM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_COMMON", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_COMMON(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_DAC0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_DAC0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_DAC1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_DAC1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_DAC2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_DAC2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_DAC3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_DAC3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_DAC3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DAC_CLK_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DAC_CLK_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DAC_CLK_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DAC_CLK_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "DADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATA_DAC0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DATA_DAC0(255)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(254)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(253)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(252)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(251)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(250)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(249)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(248)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(247)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(246)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(245)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(244)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(243)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(242)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(241)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(240)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(239)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(238)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(237)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(236)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(235)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(234)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(233)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(232)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(231)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(230)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(229)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(228)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(227)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(226)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(225)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(224)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(223)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(222)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(221)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(220)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(219)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(218)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(217)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(216)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(215)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(214)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(213)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(212)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(211)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(210)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(209)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(208)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(207)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(206)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(205)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(204)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(203)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(202)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(201)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(200)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(199)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(198)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(197)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(196)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(195)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(194)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(193)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(192)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(191)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(190)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(189)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(188)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(187)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(186)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(185)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(184)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(183)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(182)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(181)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(180)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(179)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(178)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(177)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(176)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(175)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(174)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(173)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(172)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(171)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(170)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(169)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(168)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(167)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(166)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(165)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(164)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(163)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(162)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(161)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(160)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(159)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(158)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(157)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(156)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(155)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(154)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(153)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(152)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(151)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(150)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(149)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(148)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(147)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(146)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(145)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(144)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(143)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(142)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(141)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(140)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(139)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(138)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(137)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(136)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(135)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(134)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(133)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(132)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(131)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(130)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(129)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(128)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATA_DAC1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DATA_DAC1(255)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(254)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(253)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(252)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(251)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(250)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(249)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(248)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(247)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(246)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(245)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(244)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(243)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(242)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(241)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(240)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(239)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(238)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(237)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(236)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(235)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(234)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(233)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(232)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(231)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(230)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(229)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(228)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(227)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(226)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(225)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(224)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(223)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(222)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(221)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(220)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(219)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(218)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(217)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(216)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(215)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(214)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(213)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(212)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(211)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(210)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(209)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(208)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(207)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(206)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(205)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(204)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(203)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(202)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(201)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(200)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(199)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(198)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(197)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(196)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(195)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(194)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(193)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(192)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(191)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(190)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(189)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(188)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(187)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(186)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(185)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(184)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(183)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(182)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(181)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(180)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(179)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(178)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(177)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(176)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(175)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(174)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(173)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(172)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(171)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(170)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(169)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(168)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(167)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(166)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(165)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(164)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(163)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(162)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(161)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(160)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(159)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(158)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(157)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(156)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(155)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(154)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(153)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(152)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(151)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(150)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(149)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(148)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(147)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(146)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(145)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(144)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(143)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(142)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(141)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(140)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(139)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(138)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(137)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(136)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(135)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(134)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(133)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(132)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(131)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(130)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(129)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(128)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATA_DAC2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DATA_DAC2(255)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(254)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(253)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(252)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(251)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(250)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(249)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(248)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(247)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(246)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(245)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(244)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(243)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(242)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(241)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(240)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(239)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(238)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(237)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(236)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(235)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(234)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(233)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(232)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(231)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(230)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(229)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(228)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(227)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(226)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(225)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(224)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(223)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(222)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(221)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(220)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(219)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(218)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(217)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(216)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(215)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(214)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(213)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(212)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(211)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(210)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(209)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(208)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(207)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(206)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(205)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(204)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(203)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(202)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(201)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(200)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(199)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(198)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(197)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(196)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(195)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(194)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(193)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(192)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(191)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(190)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(189)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(188)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(187)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(186)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(185)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(184)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(183)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(182)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(181)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(180)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(179)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(178)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(177)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(176)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(175)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(174)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(173)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(172)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(171)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(170)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(169)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(168)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(167)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(166)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(165)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(164)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(163)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(162)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(161)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(160)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(159)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(158)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(157)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(156)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(155)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(154)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(153)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(152)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(151)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(150)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(149)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(148)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(147)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(146)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(145)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(144)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(143)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(142)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(141)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(140)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(139)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(138)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(137)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(136)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(135)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(134)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(133)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(132)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(131)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(130)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(129)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(128)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(127)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(126)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(125)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(124)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(123)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(122)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(121)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(120)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(119)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(118)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(117)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(116)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(115)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(114)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(113)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(112)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(111)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(110)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(109)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(108)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(107)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(106)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(105)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(104)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(103)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(102)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(101)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(100)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(99)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(98)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(97)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(96)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(95)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(94)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(93)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(92)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(91)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(90)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(89)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(88)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(87)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(86)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(85)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(84)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(83)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(82)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(81)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(80)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(79)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(78)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(77)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(76)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(75)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(74)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(73)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(72)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATA_DAC3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "DATA_DAC3(255)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(254)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(253)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(252)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(251)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(250)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(249)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(248)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(247)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(246)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(245)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(244)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(243)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(242)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(241)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(240)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(239)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(238)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(237)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(236)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(235)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(234)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(233)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(232)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(231)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(230)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(229)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(228)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(227)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(226)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(225)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(224)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(223)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(222)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(221)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(220)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(219)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(218)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(217)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(216)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(215)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(214)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(213)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(212)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(211)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(210)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(209)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(208)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(207)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(206)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(205)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(204)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(203)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(202)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(201)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(200)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(199)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(198)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(197)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(196)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(195)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(194)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(193)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(192)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(191)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(190)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(189)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(188)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(187)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(186)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(185)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(184)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(183)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(182)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(181)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(180)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(179)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(178)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(177)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(176)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(175)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(174)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(173)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(172)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(171)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(170)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(169)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(168)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(167)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(166)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(165)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(164)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(163)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(162)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(161)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(160)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(159)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(158)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(157)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(156)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(155)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(154)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(153)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(152)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(151)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(150)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(149)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(148)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(147)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(146)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(145)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(144)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(143)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(142)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(141)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(140)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(139)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(138)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(137)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(136)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(135)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(134)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(133)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(132)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(131)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(130)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(129)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(128)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(127)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(126)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(125)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(124)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(123)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(122)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(121)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(120)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(119)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(118)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(117)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(116)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(115)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(114)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(113)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(112)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(111)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(110)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(109)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(108)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(107)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(106)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(105)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(104)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(103)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(102)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(101)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(100)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(99)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(98)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(97)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(96)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(95)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(94)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(93)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(92)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(91)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(90)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(89)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(88)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(87)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(86)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(85)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(84)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(83)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(82)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(81)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(80)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(79)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(78)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(77)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(76)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(75)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(74)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(73)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(72)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DATA_DAC3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FABRIC_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FABRIC_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL_MONCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_MONCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL_REFCLK_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_REFCLK_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_IN_NORTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_IN_NORTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_IN_SOUTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_IN_SOUTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T1_ALLOWED_NORTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T1_ALLOWED_NORTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_DAC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_DAC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK_DIST_OUT_NORTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_DIST_OUT_NORTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK_DIST_OUT_SOUTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_DIST_OUT_SOUTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL_DMON_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_DMON_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL_REFCLK_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_REFCLK_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_COMMON", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "STATUS_COMMON(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_DAC0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "STATUS_DAC0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_DAC1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "STATUS_DAC1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_DAC2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "STATUS_DAC2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_DAC3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "STATUS_DAC3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_DAC3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYSREF_OUT_NORTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_OUT_NORTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYSREF_OUT_SOUTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_OUT_SOUTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "T1_ALLOWED_SOUTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T1_ALLOWED_SOUTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT0_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT0_N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT0_P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT0_P", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT1_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT1_N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT1_P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT1_P", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT2_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT2_N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT2_P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT2_P", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT3_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT3_N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VOUT3_P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VOUT3_P", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "LDPE", + "types": [ + "sequential", + "latch" + ], + "pin_groups": [ + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "G", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "G", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PRE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PRE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OR2L", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SRI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SRI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMD64E", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RADR5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RADR5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR7", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMB18E1", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "CLKARDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKARDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKBWRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKBWRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENARDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENARDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENBWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENBWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCEAREGCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCEAREGCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTRAMARSTRAM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTRAMARSTRAM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTRAMB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTRAMB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREGARSTREG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREGARSTREG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREGB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREGB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRARDADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "ADDRARDADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRARDADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRBWRADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "ADDRBWRADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBWRADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIADI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DIADI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIBDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DIBDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIPADIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIPADIP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPADIP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIPBDIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIPBDIP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPBDIP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "WEA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEBWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "WEBWE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOADO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DOADO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOBDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DOBDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOPADOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOPADOP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPADOP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOPBDOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOPBDOP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPBDOP(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMB16BWE", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "CLKA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SSRA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SSRA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SSRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SSRB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "ADDRA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "ADDRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DIA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DIB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIPA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DIPA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIPB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DIPB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "WEA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "WEB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WEB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WEB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DOA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DOB(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOPA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DOPA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOPB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DOPB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPB(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "MMCME3_BASE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMB8BWER", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "CLKAWRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKAWRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKBRDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKBRDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENAWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENAWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENBRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENBRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCEBREGCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCEBREGCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCEA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCEA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTBRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTBRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRAWRADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "ADDRAWRADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRAWRADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRBRDADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "ADDRBRDADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRBRDADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIADI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DIADI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIADI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIBDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DIBDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIBDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIPADIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIPADIP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPADIP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIPBDIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIPBDIP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIPBDIP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEAWEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "WEAWEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEAWEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEBWEU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "WEBWEU(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WEBWEU(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOADO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DOADO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOADO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOBDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DOBDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOBDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOPADOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOPADOP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPADOP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOPBDOP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOPBDOP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOPBDOP(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMB4_S2_S2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WEA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "ADDRA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "ADDRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DSP_PREADD_DATA", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "A1_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "A1_DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A1_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A2_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "A2_DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A2_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "AD(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B1_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B1_DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "B1_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B2_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B2_DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "B2_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEINMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEINMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "DIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "INMODE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTINMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTINMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A2A1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "A2A1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "A2A1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ADDSUB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADDSUB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AD_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "AD_DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AD_DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "B2B1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B2B1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "B2B1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "D_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "D_DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "D_DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "INMODE_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INMODE_2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PREADD_AB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "PREADD_AB(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PREADD_AB(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "FIFO36E2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CASDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CASDIN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDINP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CASDINP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CASDINP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOMUXEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASDOMUXEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASNXTRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASNXTRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASOREGIMUXEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASOREGIMUXEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASPRVEMPTY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASPRVEMPTY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "DIN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DINP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DINP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DINP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DINP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DINP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DINP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DINP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DINP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DINP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECTDBITERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECTDBITERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECTSBITERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECTSBITERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTREG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTREG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SLEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SLEEP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CASDOUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASDOUTP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CASDOUTP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CASDOUTP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASNXTEMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASNXTEMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CASPRVRDEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASPRVRDEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBITERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBITERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "DOUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUTP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DOUTP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUTP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ECCPARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "ECCPARITY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ECCPARITY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PROGEMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PROGEMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PROGFULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PROGFULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "RDCOUNT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RDCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDRSTBUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDRSTBUSY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBITERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBITERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "WRCOUNT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "WRCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WRRSTBUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRRSTBUSY", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "INV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! I)" + } + ] + } + ] + }, + { + "name": "GTPE2_CHANNEL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTPRXN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTPRXN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTPRXP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTPRXP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRESETSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRESETSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTTXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTTXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL0CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL0REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL0REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL1CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL1REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL1REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVDIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PMARSVDIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVDIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PMARSVDIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVDIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PMARSVDIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVDIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PMARSVDIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVDIN4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PMARSVDIN4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETOVRD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESETRSV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESETRSV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDDIEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDDIEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMOSINTNTRLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMOSINTNTRLEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTNTRLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTNTRLEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTTESTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTTESTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SETERRSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SETERRSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDIFFPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPISOPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPISOPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSORINV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOSTCURSORINV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSORINV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRECURSORINV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSTARTSEQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSTARTSEQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSWING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSWING", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXADAPTSELTEST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "RXADAPTSELTEST(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "GTRSVD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TSTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "TSTIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXELECIDLEMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXELECIDLEMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOOPBACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "LOOPBACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDLEVEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXCHBONDLEVEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXBUFDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXBUFDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXBUFDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXBUFDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXHEADER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMARGIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXMARGIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXCHBONDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTCFG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXOSINTCFG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXOSINTID0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTID0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTID0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTID0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX8B10BBYPASS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCHARDISPMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXCHARDISPMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCHARDISPVAL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXCHARDISPVAL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXCHARISK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXDIFFCTRL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSTEPSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPIPPMSTEPSIZE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPOSTCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPRECURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMAINCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXMAINCURSOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSEQUENCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXSEQUENCE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTPTXN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTPTXN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTPTXP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTPTXP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PMARSVDOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PMARSVDOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADERVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXHEADERVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXGEARBOXREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXGEARBOXREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "DMONITOROUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCLKCORCNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXCLKCORCNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCLKCORCNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXDATAVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTARTOFSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSTARTOFSEQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTARTOFSEQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXBUFSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXHEADER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RXDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHARISCOMMA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXCHARISCOMMA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXCHARISK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXCHBONDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDISPERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXDISPERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXNOTINTABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXNOTINTABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXPHMONITOR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHSLIPMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXPHSLIPMONITOR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "LUT5", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "ODELAYE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CASC_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASC_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASC_RETURN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASC_RETURN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_VTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_VTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ODATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ODATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CASC_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CASC_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDSE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "OSC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "OSC_EN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC_EN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "VCC", + "types": [ + "combinational", + "power" + ], + "pin_groups": [ + { + "name": "P", + "direction": "output", + "type": "power", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "P", + "direction": "output", + "type": "power", + "function": "0b1" + } + ] + } + ] + }, + { + "name": "IDDR_2CLK", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "Q1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUF_ANALOG", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "LUT1", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "HBM_SNGLBLI_INTF_AXI", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "ARADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ARESET_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ARESET_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "ARSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 36, + "pins": [ + { + "name": "AWADDR(36)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(35)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(34)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(33)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(32)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "AWSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BSCAN_CK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BSCAN_CK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DFI_LP_PWR_X_REQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MBIST_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MBIST_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "WDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WDATA_PARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "WDATA_PARITY(31)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(30)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(29)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(28)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(27)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(26)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(25)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(24)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(23)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(22)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WDATA_PARITY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "WSTRB(31)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(30)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(29)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(28)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(27)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(26)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(25)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(24)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(23)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(22)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(21)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(20)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(19)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(18)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(17)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(16)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(15)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(14)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(13)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(12)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(11)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(10)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(9)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(8)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ARREADY_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ARREADY_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AWREADY_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AWREADY_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BID_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "BID_PIPE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "BID_PIPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "BID_PIPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "BID_PIPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BID_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BID_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BRESP_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "BRESP_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BRESP_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BVALID_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BVALID_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_AW_AERR_N_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DFI_AW_AERR_N_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_AW_AERR_N_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_CLK_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DFI_CLK_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_CTRLUPD_ACK_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DFI_CTRLUPD_ACK_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_DBI_BYTE_DISABLE_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DFI_DBI_BYTE_DISABLE_PIPE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DBI_BYTE_DISABLE_PIPE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DBI_BYTE_DISABLE_PIPE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DBI_BYTE_DISABLE_PIPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DBI_BYTE_DISABLE_PIPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DBI_BYTE_DISABLE_PIPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DBI_BYTE_DISABLE_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DBI_BYTE_DISABLE_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 20, + "pins": [ + { + "name": "DFI_DW_RDDATA_DBI_PIPE(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DBI_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_DW_RDDATA_DERR_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DFI_DW_RDDATA_DERR_PIPE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DERR_PIPE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DERR_PIPE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DERR_PIPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DERR_PIPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DERR_PIPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DERR_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_DERR_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_DW_RDDATA_PAR_VALID_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DFI_DW_RDDATA_PAR_VALID_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_PAR_VALID_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_DW_RDDATA_VALID_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DFI_DW_RDDATA_VALID_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DFI_DW_RDDATA_VALID_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_INIT_COMPLETE_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DFI_INIT_COMPLETE_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_PHYUPD_REQ_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DFI_PHYUPD_REQ_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_PHYUPD_TYPE_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DFI_PHYUPD_TYPE_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_PHY_LP_STATE_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DFI_PHY_LP_STATE_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DFI_RST_N_BUF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DFI_RST_N_BUF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MC_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "MC_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MC_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MC_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MC_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MC_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MC_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHY_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PHY_STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PHY_STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PHY_STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PHY_STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PHY_STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PHY_STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PHY_STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PHY_STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDATA_PARITY_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RDATA_PARITY_PIPE(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PARITY_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDATA_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "RDATA_PIPE(255)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(254)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(253)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(252)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(251)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(250)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(249)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(248)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(247)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(246)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(245)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(244)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(243)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(242)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(241)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(240)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(239)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(238)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(237)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(236)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(235)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(234)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(233)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(232)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(231)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(230)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(229)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(228)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(227)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(226)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(225)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(224)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(223)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(222)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(221)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(220)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(219)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(218)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(217)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(216)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(215)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(214)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(213)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(212)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(211)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(210)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(209)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(208)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(207)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(206)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(205)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(204)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(203)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(202)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(201)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(200)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(199)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(198)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(197)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(196)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(195)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(194)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(193)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(192)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(191)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(190)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(189)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(188)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(187)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(186)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(185)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(184)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(183)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(182)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(181)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(180)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(179)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(178)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(177)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(176)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(175)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(174)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(173)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(172)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(171)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(170)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(169)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(168)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(167)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(166)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(165)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(164)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(163)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(162)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(161)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(160)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(159)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(158)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(157)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(156)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(155)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(154)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(153)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(152)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(151)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(150)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(149)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(148)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(147)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(146)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(145)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(144)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(143)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(142)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(141)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(140)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(139)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(138)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(137)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(136)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(135)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(134)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(133)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(132)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(131)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(130)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(129)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(128)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RDATA_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RID_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "RID_PIPE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RID_PIPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RID_PIPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RID_PIPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RID_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RID_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RLAST_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RLAST_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RRESP_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RRESP_PIPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RRESP_PIPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RVALID_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RVALID_PIPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "WREADY_PIPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WREADY_PIPE", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "XORCY", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(CI ^ LI)" + } + ] + } + ] + }, + { + "name": "DSP48E2", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "A(29)", + "direction": "input", + "type": "none" + }, + { + "name": "A(28)", + "direction": "input", + "type": "none" + }, + { + "name": "A(27)", + "direction": "input", + "type": "none" + }, + { + "name": "A(26)", + "direction": "input", + "type": "none" + }, + { + "name": "A(25)", + "direction": "input", + "type": "none" + }, + { + "name": "A(24)", + "direction": "input", + "type": "none" + }, + { + "name": "A(23)", + "direction": "input", + "type": "none" + }, + { + "name": "A(22)", + "direction": "input", + "type": "none" + }, + { + "name": "A(21)", + "direction": "input", + "type": "none" + }, + { + "name": "A(20)", + "direction": "input", + "type": "none" + }, + { + "name": "A(19)", + "direction": "input", + "type": "none" + }, + { + "name": "A(18)", + "direction": "input", + "type": "none" + }, + { + "name": "A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ACIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "ACIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ALUMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "ALUMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ALUMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ALUMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ALUMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "BCIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "C(47)", + "direction": "input", + "type": "none" + }, + { + "name": "C(46)", + "direction": "input", + "type": "none" + }, + { + "name": "C(45)", + "direction": "input", + "type": "none" + }, + { + "name": "C(44)", + "direction": "input", + "type": "none" + }, + { + "name": "C(43)", + "direction": "input", + "type": "none" + }, + { + "name": "C(42)", + "direction": "input", + "type": "none" + }, + { + "name": "C(41)", + "direction": "input", + "type": "none" + }, + { + "name": "C(40)", + "direction": "input", + "type": "none" + }, + { + "name": "C(39)", + "direction": "input", + "type": "none" + }, + { + "name": "C(38)", + "direction": "input", + "type": "none" + }, + { + "name": "C(37)", + "direction": "input", + "type": "none" + }, + { + "name": "C(36)", + "direction": "input", + "type": "none" + }, + { + "name": "C(35)", + "direction": "input", + "type": "none" + }, + { + "name": "C(34)", + "direction": "input", + "type": "none" + }, + { + "name": "C(33)", + "direction": "input", + "type": "none" + }, + { + "name": "C(32)", + "direction": "input", + "type": "none" + }, + { + "name": "C(31)", + "direction": "input", + "type": "none" + }, + { + "name": "C(30)", + "direction": "input", + "type": "none" + }, + { + "name": "C(29)", + "direction": "input", + "type": "none" + }, + { + "name": "C(28)", + "direction": "input", + "type": "none" + }, + { + "name": "C(27)", + "direction": "input", + "type": "none" + }, + { + "name": "C(26)", + "direction": "input", + "type": "none" + }, + { + "name": "C(25)", + "direction": "input", + "type": "none" + }, + { + "name": "C(24)", + "direction": "input", + "type": "none" + }, + { + "name": "C(23)", + "direction": "input", + "type": "none" + }, + { + "name": "C(22)", + "direction": "input", + "type": "none" + }, + { + "name": "C(21)", + "direction": "input", + "type": "none" + }, + { + "name": "C(20)", + "direction": "input", + "type": "none" + }, + { + "name": "C(19)", + "direction": "input", + "type": "none" + }, + { + "name": "C(18)", + "direction": "input", + "type": "none" + }, + { + "name": "C(17)", + "direction": "input", + "type": "none" + }, + { + "name": "C(16)", + "direction": "input", + "type": "none" + }, + { + "name": "C(15)", + "direction": "input", + "type": "none" + }, + { + "name": "C(14)", + "direction": "input", + "type": "none" + }, + { + "name": "C(13)", + "direction": "input", + "type": "none" + }, + { + "name": "C(12)", + "direction": "input", + "type": "none" + }, + { + "name": "C(11)", + "direction": "input", + "type": "none" + }, + { + "name": "C(10)", + "direction": "input", + "type": "none" + }, + { + "name": "C(9)", + "direction": "input", + "type": "none" + }, + { + "name": "C(8)", + "direction": "input", + "type": "none" + }, + { + "name": "C(7)", + "direction": "input", + "type": "none" + }, + { + "name": "C(6)", + "direction": "input", + "type": "none" + }, + { + "name": "C(5)", + "direction": "input", + "type": "none" + }, + { + "name": "C(4)", + "direction": "input", + "type": "none" + }, + { + "name": "C(3)", + "direction": "input", + "type": "none" + }, + { + "name": "C(2)", + "direction": "input", + "type": "none" + }, + { + "name": "C(1)", + "direction": "input", + "type": "none" + }, + { + "name": "C(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYCASCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYCASCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYINSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CARRYINSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CARRYINSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CARRYINSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEA1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEA2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEALUMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEALUMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEB1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEB2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CECARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CECARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CECTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CECTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEINMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEINMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "D(26)", + "direction": "input", + "type": "none" + }, + { + "name": "D(25)", + "direction": "input", + "type": "none" + }, + { + "name": "D(24)", + "direction": "input", + "type": "none" + }, + { + "name": "D(23)", + "direction": "input", + "type": "none" + }, + { + "name": "D(22)", + "direction": "input", + "type": "none" + }, + { + "name": "D(21)", + "direction": "input", + "type": "none" + }, + { + "name": "D(20)", + "direction": "input", + "type": "none" + }, + { + "name": "D(19)", + "direction": "input", + "type": "none" + }, + { + "name": "D(18)", + "direction": "input", + "type": "none" + }, + { + "name": "D(17)", + "direction": "input", + "type": "none" + }, + { + "name": "D(16)", + "direction": "input", + "type": "none" + }, + { + "name": "D(15)", + "direction": "input", + "type": "none" + }, + { + "name": "D(14)", + "direction": "input", + "type": "none" + }, + { + "name": "D(13)", + "direction": "input", + "type": "none" + }, + { + "name": "D(12)", + "direction": "input", + "type": "none" + }, + { + "name": "D(11)", + "direction": "input", + "type": "none" + }, + { + "name": "D(10)", + "direction": "input", + "type": "none" + }, + { + "name": "D(9)", + "direction": "input", + "type": "none" + }, + { + "name": "D(8)", + "direction": "input", + "type": "none" + }, + { + "name": "D(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "INMODE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "INMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MULTSIGNIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MULTSIGNIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OPMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "OPMODE(8)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "PCIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTALLCARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTALLCARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTALUMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTALUMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTCTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTINMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTINMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ACOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "ACOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "BCOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CARRYCASCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYCASCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CARRYOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CARRYOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CARRYOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CARRYOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CARRYOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MULTSIGNOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MULTSIGNOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OVERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OVERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "P(47)", + "direction": "output", + "type": "none" + }, + { + "name": "P(46)", + "direction": "output", + "type": "none" + }, + { + "name": "P(45)", + "direction": "output", + "type": "none" + }, + { + "name": "P(44)", + "direction": "output", + "type": "none" + }, + { + "name": "P(43)", + "direction": "output", + "type": "none" + }, + { + "name": "P(42)", + "direction": "output", + "type": "none" + }, + { + "name": "P(41)", + "direction": "output", + "type": "none" + }, + { + "name": "P(40)", + "direction": "output", + "type": "none" + }, + { + "name": "P(39)", + "direction": "output", + "type": "none" + }, + { + "name": "P(38)", + "direction": "output", + "type": "none" + }, + { + "name": "P(37)", + "direction": "output", + "type": "none" + }, + { + "name": "P(36)", + "direction": "output", + "type": "none" + }, + { + "name": "P(35)", + "direction": "output", + "type": "none" + }, + { + "name": "P(34)", + "direction": "output", + "type": "none" + }, + { + "name": "P(33)", + "direction": "output", + "type": "none" + }, + { + "name": "P(32)", + "direction": "output", + "type": "none" + }, + { + "name": "P(31)", + "direction": "output", + "type": "none" + }, + { + "name": "P(30)", + "direction": "output", + "type": "none" + }, + { + "name": "P(29)", + "direction": "output", + "type": "none" + }, + { + "name": "P(28)", + "direction": "output", + "type": "none" + }, + { + "name": "P(27)", + "direction": "output", + "type": "none" + }, + { + "name": "P(26)", + "direction": "output", + "type": "none" + }, + { + "name": "P(25)", + "direction": "output", + "type": "none" + }, + { + "name": "P(24)", + "direction": "output", + "type": "none" + }, + { + "name": "P(23)", + "direction": "output", + "type": "none" + }, + { + "name": "P(22)", + "direction": "output", + "type": "none" + }, + { + "name": "P(21)", + "direction": "output", + "type": "none" + }, + { + "name": "P(20)", + "direction": "output", + "type": "none" + }, + { + "name": "P(19)", + "direction": "output", + "type": "none" + }, + { + "name": "P(18)", + "direction": "output", + "type": "none" + }, + { + "name": "P(17)", + "direction": "output", + "type": "none" + }, + { + "name": "P(16)", + "direction": "output", + "type": "none" + }, + { + "name": "P(15)", + "direction": "output", + "type": "none" + }, + { + "name": "P(14)", + "direction": "output", + "type": "none" + }, + { + "name": "P(13)", + "direction": "output", + "type": "none" + }, + { + "name": "P(12)", + "direction": "output", + "type": "none" + }, + { + "name": "P(11)", + "direction": "output", + "type": "none" + }, + { + "name": "P(10)", + "direction": "output", + "type": "none" + }, + { + "name": "P(9)", + "direction": "output", + "type": "none" + }, + { + "name": "P(8)", + "direction": "output", + "type": "none" + }, + { + "name": "P(7)", + "direction": "output", + "type": "none" + }, + { + "name": "P(6)", + "direction": "output", + "type": "none" + }, + { + "name": "P(5)", + "direction": "output", + "type": "none" + }, + { + "name": "P(4)", + "direction": "output", + "type": "none" + }, + { + "name": "P(3)", + "direction": "output", + "type": "none" + }, + { + "name": "P(2)", + "direction": "output", + "type": "none" + }, + { + "name": "P(1)", + "direction": "output", + "type": "none" + }, + { + "name": "P(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PATTERNBDETECT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PATTERNBDETECT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PATTERNDETECT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PATTERNDETECT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "PCOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UNDERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UNDERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "XOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "XOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "XOROUT(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PLLE3_BASE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKOUTPHYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUTPHYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUTPHY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUTPHY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PHASER_REF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RFADC", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "ADC_CLK_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADC_CLK_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADC_CLK_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADC_CLK_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_DIST_IN_NORTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_DIST_IN_NORTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_DIST_IN_SOUTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_DIST_IN_SOUTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_FIFO_LM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_FIFO_LM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_ADC0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_ADC0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_ADC1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_ADC1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_ADC2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_ADC2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_ADC3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_ADC3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_ADC3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONTROL_COMMON", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CONTROL_COMMON(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONTROL_COMMON(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "DADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FABRIC_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FABRIC_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL_MONCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_MONCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL_REFCLK_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_REFCLK_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_IN_NORTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_IN_NORTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_IN_SOUTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_IN_SOUTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSREF_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T1_ALLOWED_NORTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T1_ALLOWED_NORTH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN0_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN0_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN0_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN0_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN1_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN1_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN1_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN1_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN2_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN2_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN2_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN2_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN3_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN3_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN3_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN3_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN_I01_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN_I01_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN_I01_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN_I01_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN_I23_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN_I23_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VIN_I23_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VIN_I23_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_ADC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_ADC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK_DIST_OUT_NORTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_DIST_OUT_NORTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK_DIST_OUT_SOUTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_DIST_OUT_SOUTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATA_ADC0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 191, + "pins": [ + { + "name": "DATA_ADC0(191)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(190)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(189)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(188)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(187)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(186)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(185)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(184)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(183)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(182)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(181)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(180)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(179)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(178)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(177)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(176)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(175)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(174)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(173)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(172)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(171)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(170)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(169)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(168)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(167)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(166)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(165)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(164)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(163)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(162)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(161)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(160)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(159)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(158)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(157)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(156)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(155)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(154)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(153)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(152)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(151)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(150)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(149)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(148)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(147)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(146)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(145)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(144)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(143)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(142)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(141)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(140)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(139)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(138)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(137)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(136)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(135)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(134)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(133)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(132)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(131)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(130)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(129)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(128)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATA_ADC1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 191, + "pins": [ + { + "name": "DATA_ADC1(191)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(190)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(189)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(188)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(187)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(186)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(185)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(184)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(183)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(182)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(181)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(180)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(179)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(178)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(177)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(176)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(175)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(174)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(173)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(172)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(171)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(170)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(169)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(168)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(167)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(166)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(165)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(164)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(163)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(162)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(161)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(160)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(159)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(158)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(157)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(156)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(155)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(154)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(153)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(152)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(151)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(150)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(149)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(148)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(147)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(146)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(145)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(144)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(143)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(142)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(141)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(140)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(139)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(138)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(137)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(136)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(135)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(134)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(133)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(132)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(131)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(130)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(129)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(128)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATA_ADC2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 191, + "pins": [ + { + "name": "DATA_ADC2(191)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(190)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(189)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(188)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(187)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(186)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(185)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(184)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(183)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(182)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(181)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(180)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(179)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(178)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(177)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(176)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(175)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(174)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(173)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(172)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(171)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(170)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(169)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(168)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(167)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(166)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(165)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(164)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(163)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(162)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(161)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(160)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(159)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(158)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(157)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(156)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(155)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(154)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(153)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(152)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(151)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(150)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(149)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(148)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(147)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(146)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(145)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(144)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(143)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(142)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(141)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(140)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(139)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(138)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(137)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(136)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(135)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(134)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(133)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(132)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(131)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(130)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(129)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(128)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATA_ADC3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 191, + "pins": [ + { + "name": "DATA_ADC3(191)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(190)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(189)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(188)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(187)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(186)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(185)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(184)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(183)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(182)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(181)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(180)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(179)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(178)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(177)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(176)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(175)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(174)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(173)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(172)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(171)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(170)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(169)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(168)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(167)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(166)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(165)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(164)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(163)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(162)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(161)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(160)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(159)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(158)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(157)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(156)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(155)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(154)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(153)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(152)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(151)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(150)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(149)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(148)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(147)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(146)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(145)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(144)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(143)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(142)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(141)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(140)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(139)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(138)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(137)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(136)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(135)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(134)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(133)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(132)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(131)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(130)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(129)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(128)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(127)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(126)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(125)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(124)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(123)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(122)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(121)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(120)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(119)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(118)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(117)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(116)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(115)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(114)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(113)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(112)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(111)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(110)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(109)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(108)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(107)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(106)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(105)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(104)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(103)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(102)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(101)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(100)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(99)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(98)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(97)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(96)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(95)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(94)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(93)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(92)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(91)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(90)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(89)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(88)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(87)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(86)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(85)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(84)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(83)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(82)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(81)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(80)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(79)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(78)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(77)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(76)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(75)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(74)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(73)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(72)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA_ADC3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL_DMON_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_DMON_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLL_REFCLK_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_REFCLK_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_ADC0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "STATUS_ADC0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_ADC1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "STATUS_ADC1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_ADC2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "STATUS_ADC2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_ADC3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "STATUS_ADC3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_ADC3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS_COMMON", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "STATUS_COMMON(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS_COMMON(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYSREF_OUT_NORTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_OUT_NORTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYSREF_OUT_SOUTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSREF_OUT_SOUTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "T1_ALLOWED_SOUTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T1_ALLOWED_SOUTH", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_DIFF_OUT_IBUFDISABLE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PCIE_2_1", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CFGERRACSN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRACSN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRATOMICEGRESSBLOCKEDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRATOMICEGRESSBLOCKEDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRCORN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCORN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRCPLABORTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCPLABORTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRCPLTIMEOUTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCPLTIMEOUTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRCPLUNEXPECTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCPLUNEXPECTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRECRCN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRECRCN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRINTERNALCORN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRINTERNALCORN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRINTERNALUNCORN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRINTERNALUNCORN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRLOCKEDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRLOCKEDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRMALFORMEDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRMALFORMEDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRMCBLOCKEDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRMCBLOCKEDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRNORECOVERYN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRNORECOVERYN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRPOISONEDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRPOISONEDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRPOSTEDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRPOSTEDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRURN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRURN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFORCECOMMONCLOCKOFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGFORCECOMMONCLOCKOFF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFORCEEXTENDEDSYNCON", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGFORCEEXTENDEDSYNCON", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTASSERTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTASSERTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTSTATN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTSTATN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTRDENN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTRDENN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRENN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTWRENN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRREADONLYN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTWRREADONLYN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRRW1CASRWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTWRRW1CASRWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMFORCESTATEENN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMFORCESTATEENN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMHALTASPML0SN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMHALTASPML0SN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMHALTASPML1N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMHALTASPML1N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMSENDPMETON", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMSENDPMETON", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMTURNOFFOKN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMTURNOFFOKN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMWAKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMWAKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGTRNPENDINGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTRNPENDINGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CMRSTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CMRSTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CMSTICKYRSTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CMSTICKYRSTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DBGSUBMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSUBMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DLRSTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DLRSTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FUNCLVLRSTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FUNCLVLRSTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LL2SENDASREQL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2SENDASREQL1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LL2SENDENTERL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2SENDENTERL1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LL2SENDENTERL23", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2SENDENTERL23", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LL2SENDPMACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2SENDPMACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LL2SUSPENDNOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2SUSPENDNOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LL2TLPRCV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2TLPRCV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0CHANISALIGNED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0CHANISALIGNED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1CHANISALIGNED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1CHANISALIGNED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2CHANISALIGNED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2CHANISALIGNED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3CHANISALIGNED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3CHANISALIGNED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4CHANISALIGNED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4CHANISALIGNED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5CHANISALIGNED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5CHANISALIGNED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6CHANISALIGNED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6CHANISALIGNED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7CHANISALIGNED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7CHANISALIGNED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLDIRECTEDLINKAUTON", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLDIRECTEDLINKAUTON", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLDIRECTEDLINKSPEED", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLDIRECTEDLINKSPEED", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLDIRECTEDLTSSMNEWVLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLDIRECTEDLTSSMNEWVLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLDIRECTEDLTSSMSTALL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLDIRECTEDLTSSMSTALL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLDOWNSTREAMDEEMPHSOURCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLDOWNSTREAMDEEMPHSOURCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLRSTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLRSTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLTRANSMITHOTRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLTRANSMITHOTRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLUPSTREAMPREFERDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLUPSTREAMPREFERDEEMPH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSRSTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSRSTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TL2ASPMSUSPENDCREDITCHECK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TL2ASPMSUSPENDCREDITCHECK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TL2PPMSUSPENDREQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TL2PPMSUSPENDREQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TLRSTN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TLRSTN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNRDSTRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNRDSTRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNRFCPRET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNRFCPRET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNRNPOK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNRNPOK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNRNPREQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNRNPREQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTCFGGNT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTCFGGNT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTDLLPSRCRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTDLLPSRCRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTECRCGEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTECRCGEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTEOF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTEOF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTERRFWD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTERRFWD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTSOF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTSOF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTSRCDSC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTSRCDSC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTSRCRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTSRCRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTSTR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTSTR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRAERHEADERLOG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "CFGERRAERHEADERLOG(127)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(126)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(125)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(124)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(123)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(122)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(121)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(120)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(119)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(118)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(117)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(116)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(115)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(114)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(113)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(112)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(111)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(110)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(109)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(108)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(107)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(106)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(105)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(104)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(103)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(102)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(101)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(100)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(99)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(98)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(97)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(96)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(95)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(94)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(93)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(92)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(91)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(90)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(89)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(88)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(87)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(86)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(85)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(84)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(83)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(82)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(81)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(80)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(79)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(78)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(77)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(76)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(75)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(74)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(73)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(72)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRAERHEADERLOG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TRNTD(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSVENDID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSVENDID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVENDID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGVENDID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPERX0DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPERX1DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPERX2DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPERX3DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPERX4DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPERX5DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPERX6DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPERX7DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPMFORCESTATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGPMFORCESTATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPMFORCESTATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DBGMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DBGMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX0CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX1CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX2CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX3CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX4CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX5CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX6CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX7CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLDIRECTEDLINKCHANGE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLDIRECTEDLINKCHANGE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLDIRECTEDLINKCHANGE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLDIRECTEDLINKWIDTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLDIRECTEDLINKWIDTH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLDIRECTEDLINKWIDTH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTREM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TRNTREM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTREM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGDSFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFORCEMPS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGFORCEMPS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFORCEMPS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFORCEMPS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX0STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX1STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX2STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX3STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX4STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX5STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX6STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX7STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLDBGMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PLDBGMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLDBGMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLDBGMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNFCSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TRNFCSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNFCSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNFCSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMGMTDI(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TRNTDLLPDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TRNTDLLPDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TRNTDLLPDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTBYTEENN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGMGMTBYTEENN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRTLPCPLHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "CFGERRTLPCPLHEADER(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGERRTLPCPLHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGAERINTERRUPTMSGNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGAERINTERRUPTMSGNUM(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGAERINTERRUPTMSGNUM(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGAERINTERRUPTMSGNUM(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGAERINTERRUPTMSGNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGAERINTERRUPTMSGNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSDEVICENUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGDSDEVICENUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPCIECAPINTERRUPTMSGNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGPCIECAPINTERRUPTMSGNUM(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPCIECAPINTERRUPTMSGNUM(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPCIECAPINTERRUPTMSGNUM(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPCIECAPINTERRUPTMSGNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPCIECAPINTERRUPTMSGNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PL2DIRECTEDLSTATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PL2DIRECTEDLSTATE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2DIRECTEDLSTATE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2DIRECTEDLSTATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2DIRECTEDLSTATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PL2DIRECTEDLSTATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLDIRECTEDLTSSMNEW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PLDIRECTEDLTSSMNEW(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLDIRECTEDLTSSMNEW(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLDIRECTEDLTSSMNEW(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLDIRECTEDLTSSMNEW(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLDIRECTEDLTSSMNEW(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLDIRECTEDLTSSMNEW(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CFGDSN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIMRXRDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 67, + "pins": [ + { + "name": "MIMRXRDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMRXRDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIMTXRDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 68, + "pins": [ + { + "name": "MIMTXRDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIMTXRDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSBUSNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGDSBUSNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGINTERRUPTDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPORTNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGPORTNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPORTNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPORTNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPORTNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPORTNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPORTNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPORTNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPORTNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTDWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "CFGMGMTDWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTDWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGAERECRCCHECKEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGAERECRCCHECKEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGAERECRCGENEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGAERECRCGENEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGAERROOTERRCORRERRRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGAERROOTERRCORRERRRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGAERROOTERRCORRERRREPORTINGEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGAERROOTERRCORRERRREPORTINGEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGAERROOTERRFATALERRRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGAERROOTERRFATALERRRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGAERROOTERRFATALERRREPORTINGEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGAERROOTERRFATALERRREPORTINGEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGAERROOTERRNONFATALERRRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGAERROOTERRNONFATALERRRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGAERROOTERRNONFATALERRREPORTINGEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGAERROOTERRNONFATALERRREPORTINGEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGBRIDGESERREN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGBRIDGESERREN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGCOMMANDBUSMASTERENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCOMMANDBUSMASTERENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGCOMMANDINTERRUPTDISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCOMMANDINTERRUPTDISABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGCOMMANDIOENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCOMMANDIOENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGCOMMANDMEMENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCOMMANDMEMENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGCOMMANDSERREN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCOMMANDSERREN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROL2ARIFORWARDEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROL2ARIFORWARDEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROL2ATOMICEGRESSBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROL2ATOMICEGRESSBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROL2ATOMICREQUESTEREN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROL2ATOMICREQUESTEREN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROL2CPLTIMEOUTDIS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROL2CPLTIMEOUTDIS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROL2IDOCPLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROL2IDOCPLEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROL2IDOREQEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROL2IDOREQEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROL2LTREN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROL2LTREN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROL2TLPPREFIXBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROL2TLPPREFIXBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLAUXPOWEREN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROLAUXPOWEREN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLCORRERRREPORTINGEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROLCORRERRREPORTINGEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLENABLERO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROLENABLERO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLEXTTAGEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROLEXTTAGEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLFATALERRREPORTINGEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROLFATALERRREPORTINGEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLNONFATALREPORTINGEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROLNONFATALREPORTINGEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLNOSNOOPEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROLNOSNOOPEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLPHANTOMEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROLPHANTOMEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLURERRREPORTINGEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVCONTROLURERRREPORTINGEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVSTATUSCORRERRDETECTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVSTATUSCORRERRDETECTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVSTATUSFATALERRDETECTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVSTATUSFATALERRDETECTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVSTATUSNONFATALERRDETECTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVSTATUSNONFATALERRDETECTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVSTATUSURDETECTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGDEVSTATUSURDETECTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRAERHEADERLOGSETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRAERHEADERLOGSETN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRCPLRDYN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCPLRDYN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXFM", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXFM", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTRDYN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTRDYN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKCONTROLAUTOBANDWIDTHINTEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKCONTROLAUTOBANDWIDTHINTEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKCONTROLBANDWIDTHINTEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKCONTROLBANDWIDTHINTEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKCONTROLCLOCKPMEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKCONTROLCLOCKPMEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKCONTROLCOMMONCLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKCONTROLCOMMONCLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKCONTROLEXTENDEDSYNC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKCONTROLEXTENDEDSYNC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKCONTROLHWAUTOWIDTHDIS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKCONTROLHWAUTOWIDTHDIS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKCONTROLLINKDISABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKCONTROLLINKDISABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKCONTROLRCB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKCONTROLRCB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKCONTROLRETRAINLINK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKCONTROLRETRAINLINK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKSTATUSAUTOBANDWIDTHSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKSTATUSAUTOBANDWIDTHSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKSTATUSBANDWIDTHSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKSTATUSBANDWIDTHSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKSTATUSDLLACTIVE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKSTATUSDLLACTIVE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKSTATUSLINKTRAINING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKSTATUSLINKTRAINING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTRDWRDONEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTRDWRDONEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDASSERTINTA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDASSERTINTA", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDASSERTINTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDASSERTINTB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDASSERTINTC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDASSERTINTC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDASSERTINTD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDASSERTINTD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDDEASSERTINTA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDDEASSERTINTA", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDDEASSERTINTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDDEASSERTINTB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDDEASSERTINTC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDDEASSERTINTC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDDEASSERTINTD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDDEASSERTINTD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDERRCOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDERRCOR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDERRFATAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDERRFATAL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDERRNONFATAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDERRNONFATAL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDPMASNAK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDPMASNAK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDPMETO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDPMETO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDPMETOACK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDPMETOACK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDPMPME", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDPMPME", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDSETSLOTPOWERLIMIT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDSETSLOTPOWERLIMIT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDUNLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVEDUNLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPMCSRPMEEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMCSRPMEEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPMCSRPMESTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMCSRPMESTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPMRCVASREQL1N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMRCVASREQL1N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPMRCVENTERL1N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMRCVENTERL1N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPMRCVENTERL23N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMRCVENTERL23N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPMRCVREQACKN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPMRCVREQACKN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGROOTCONTROLPMEINTEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGROOTCONTROLPMEINTEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGROOTCONTROLSYSERRCORRERREN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGROOTCONTROLSYSERRCORRERREN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGROOTCONTROLSYSERRFATALERREN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGROOTCONTROLSYSERRFATALERREN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGROOTCONTROLSYSERRNONFATALERREN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGROOTCONTROLSYSERRNONFATALERREN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGSLOTCONTROLELECTROMECHILCTLPULSE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGSLOTCONTROLELECTROMECHILCTLPULSE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTRANSACTION", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTRANSACTION", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTRANSACTIONTYPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTRANSACTIONTYPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRA", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRI", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRJ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRJ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGSCLRK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGSCLRK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2BADDLLPERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2BADDLLPERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2BADTLPERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2BADTLPERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2PROTOCOLERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2PROTOCOLERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2RECEIVERERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2RECEIVERERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2REPLAYROERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2REPLAYROERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2REPLAYTOERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2REPLAYTOERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2SUSPENDOK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2SUSPENDOK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2TFCINIT1SEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2TFCINIT1SEQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2TFCINIT2SEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2TFCINIT2SEQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2TXIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2TXIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LNKCLKEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LNKCLKEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIMRXREN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIMRXREN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIMRXWEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIMRXWEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIMTXREN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIMTXREN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIMTXWEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MIMTXWEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXDEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXRATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXRCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXRESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PL2L0REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PL2L0REQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PL2LINKUP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PL2LINKUP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PL2RECEIVERERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PL2RECEIVERERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PL2RECOVERY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PL2RECOVERY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PL2RXELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PL2RXELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PL2SUSPENDOK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PL2SUSPENDOK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLDIRECTEDCHANGEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLDIRECTEDCHANGEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLLINKGEN2CAP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLLINKGEN2CAP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLLINKPARTNERGEN2SUPPORTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLLINKPARTNERGEN2SUPPORTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLLINKUPCFGCAP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLLINKUPCFGCAP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLPHYLNKUPN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLPHYLNKUPN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLRECEIVEDHOTRST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLRECEIVEDHOTRST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLSELLNKRATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLSELLNKRATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RECEIVEDFUNCLVLRSTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RECEIVEDFUNCLVLRSTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TL2ASPMSUSPENDCREDITCHECKOK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TL2ASPMSUSPENDCREDITCHECKOK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TL2ASPMSUSPENDREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TL2ASPMSUSPENDREQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TL2ERRFCPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TL2ERRFCPE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TL2ERRMALFORMED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TL2ERRMALFORMED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TL2ERRRXOVERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TL2ERRRXOVERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TL2PPMSUSPENDOK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TL2PPMSUSPENDOK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNLNKUP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNLNKUP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNRECRCERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNRECRCERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNREOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNREOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNRERRFWD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNRERRFWD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNRSOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNRSOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNRSRCDSC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNRSRCDSC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNRSRCRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNRSRCRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNTCFGREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTCFGREQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNTDLLPDSTRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTDLLPDSTRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNTERRDROP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRNTERRDROP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "USERRSTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERRSTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGVECC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "DBGVECC(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLDBGVEC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "PLDBGVEC(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLDBGVEC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNFCCPLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "TRNFCCPLD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNFCNPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "TRNFCNPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNFCPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "TRNFCPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNRD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TRNRD(127)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(126)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(125)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(124)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(123)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(122)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(121)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(120)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(119)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(118)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(117)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(116)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(115)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(114)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(113)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(112)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(111)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(110)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(109)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(108)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(107)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(106)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(105)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(104)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(103)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(102)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(101)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(100)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(99)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(98)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(97)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(96)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(95)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(94)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(93)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(92)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(91)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(90)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(89)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(88)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(87)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(86)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(85)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(84)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(83)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(82)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(81)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(80)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(79)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(78)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(77)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(76)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(75)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(74)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(73)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(72)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(71)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(70)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(69)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(68)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(67)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(66)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(65)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(64)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIMRXRADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "MIMRXRADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXRADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIMRXWADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "MIMRXWADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIMTXRADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "MIMTXRADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXRADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIMTXWADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "MIMTXWADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGMSGDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPETX0DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPETX1DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPETX2DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPETX3DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPETX4DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPETX5DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPETX6DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PIPETX7DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKCONTROLASPMCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGLINKCONTROLASPMCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLINKCONTROLASPMCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKSTATUSCURRENTSPEED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGLINKSTATUSCURRENTSPEED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLINKSTATUSCURRENTSPEED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPMCSRPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGPMCSRPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPMCSRPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PL2RXPMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PL2RXPMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PL2RXPMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLLANEREVERSALMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLLANEREVERSALMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLLANEREVERSALMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLRXPMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLRXPMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLRXPMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLSELLNKWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLSELLNKWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLSELLNKWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNRDLLPSRCRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TRNRDLLPSRCRDY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPSRCRDY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNRREM", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TRNRREM(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRREM(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLMAXPAYLOAD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGDEVCONTROLMAXPAYLOAD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDEVCONTROLMAXPAYLOAD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDEVCONTROLMAXPAYLOAD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROLMAXREADREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGDEVCONTROLMAXREADREQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDEVCONTROLMAXREADREQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDEVCONTROLMAXREADREQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMMENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGINTERRUPTMMENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMMENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMMENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPCIELINKSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGPCIELINKSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPCIELINKSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPCIELINKSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXMARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETXMARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXMARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXMARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLINITIALLINKWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PLINITIALLINKWIDTH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PLINITIALLINKWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLINITIALLINKWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLTXPMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PLTXPMSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PLTXPMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLTXPMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMGMTDO(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDEVCONTROL2CPLTIMEOUTVAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGDEVCONTROL2CPLTIMEOUTVAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDEVCONTROL2CPLTIMEOUTVAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDEVCONTROL2CPLTIMEOUTVAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDEVCONTROL2CPLTIMEOUTVAL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKSTATUSNEGOTIATEDWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGLINKSTATUSNEGOTIATEDWIDTH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLINKSTATUSNEGOTIATEDWIDTH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLINKSTATUSNEGOTIATEDWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLINKSTATUSNEGOTIATEDWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNTDSTRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TRNTDSTRDY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNTDSTRDY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNTDSTRDY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNTDSTRDY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2LINKSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "LL2LINKSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LINKSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LINKSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LINKSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LINKSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLLTSSMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PLLTSSMSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PLLTSSMSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PLLTSSMSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PLLTSSMSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PLLTSSMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLLTSSMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNTBUFAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "TRNTBUFAV(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNTBUFAV(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNTBUFAV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNTBUFAV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNTBUFAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNTBUFAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGVECA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "DBGVECA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGVECB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "DBGVECB(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGVECB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TL2ERRHDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TL2ERRHDR(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TL2ERRHDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNRDLLPDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TRNRDLLPDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRDLLPDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIMRXWDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 67, + "pins": [ + { + "name": "MIMRXWDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMRXWDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIMTXWDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 68, + "pins": [ + { + "name": "MIMTXWDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIMTXWDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTRANSACTIONADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "CFGTRANSACTIONADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTRANSACTIONADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTRANSACTIONADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTRANSACTIONADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTRANSACTIONADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTRANSACTIONADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTRANSACTIONADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVCTCVCMAP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "CFGVCTCVCMAP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVCTCVCMAP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVCTCVCMAP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVCTCVCMAP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVCTCVCMAP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVCTCVCMAP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVCTCVCMAP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGINTERRUPTDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNFCCPLH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TRNFCCPLH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCCPLH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNFCNPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TRNFCNPH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCNPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNFCPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TRNFCPH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNFCPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRNRBARHIT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TRNRBARHIT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRBARHIT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRBARHIT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRBARHIT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRBARHIT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRBARHIT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRBARHIT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TRNRBARHIT(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM32X1D", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SPO", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM16X1D", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPRA3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPRA3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DPO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SPO", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "MMCME3_ADV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CDDCREQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDDCREQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKINSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKINSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSINCDEC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSINCDEC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CDDCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDDCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFBSTOPPED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBSTOPPED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKINSTOPPED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKINSTOPPED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSDONE", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PCIE_3_1", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CFGCONFIGSPACEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCONFIGSPACEENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSBUSNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGDSBUSNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSDEVICENUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGDSDEVICENUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGDSFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CFGDSN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSPORTNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGDSPORTNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRCORIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCORIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRUNCORIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRUNCORIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGEXTREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADDATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTREADDATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFCSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGFCSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFCSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFCSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFLRDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGFLRDONE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFLRDONE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFLRDONE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFLRDONE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGHOTRESETIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGHOTRESETIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTINT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIATTR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGINTERRUPTMSIATTR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIATTR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIATTR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIINT(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSDATAENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUSFUNCTIONNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSISELECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSISELECT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSISELECT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSISELECT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSISELECT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHPRESENT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHPRESENT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHSTTAG(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXADDRESS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CFGINTERRUPTMSIXADDRESS(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXINT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTPENDING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTPENDING(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTPENDING(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTPENDING(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTPENDING(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGLINKTRAININGENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKTRAININGENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 18, + "pins": [ + { + "name": "CFGMGMTADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTBYTEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGMGMTBYTEENABLE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTREAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTTYPE1CFGREGACCESS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTTYPE1CFGREGACCESS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRITE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTWRITE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRITEDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMGMTWRITEDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGTRANSMIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMSGTRANSMITDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGMSGTRANSMITTYPE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPERFUNCSTATUSCONTROL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGPERFUNCSTATUSCONTROL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSCONTROL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSCONTROL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPERFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGPERFUNCTIONNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPERFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPERFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPERFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPERFUNCTIONOUTPUTREQUEST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPERFUNCTIONOUTPUTREQUEST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPOWERSTATECHANGEACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPOWERSTATECHANGEACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREQPMTRANSITIONL23READY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGREQPMTRANSITIONL23READY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSVENDID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSVENDID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGTPHSTTREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTREADDATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTPHSTTREADDATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVENDID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGVENDID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVFFLRDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGVFFLRDONE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPREQUESTBYCONF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPREQUESTBYCONF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CONFREQDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQREGNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CONFREQREGNUM(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQREGNUM(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQREGNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQREGNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CONFREQTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CONFREQTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONFREQVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFREQVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMICOMPLETIONRAML", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMICOMPLETIONRAML", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMICOMPLETIONRAMU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMICOMPLETIONRAMU", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIREPLAYRAM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIREPLAYRAM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIREQUESTRAM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIREQUESTRAM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DBGCFGLOCALMGMTREGOVERRIDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGCFGLOCALMGMTREGOVERRIDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DBGDATASEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DBGDATASEL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGDATASEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGDATASEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DBGDATASEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRPADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LL2LMSAXISTXTUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "LL2LMSAXISTXTUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LL2LMSAXISTXTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2LMSAXISTXTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LL2LMTXTLPID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "LL2LMTXTLPID0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMTXTLPID0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMTXTLPID0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMTXTLPID0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LL2LMTXTLPID1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "LL2LMTXTLPID1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMTXTLPID1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMTXTLPID1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LL2LMTXTLPID1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "MAXISCQTREADY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "MAXISRCTREADY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCAPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCAPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCAPPERST0B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCAPPERST0B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCAPPERST1B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCAPPERST1B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MGMTRESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MGMTRESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MGMTSTICKYRESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MGMTSTICKYRESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MICOMPLETIONRAMREADDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIREPLAYRAMREADDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIREQUESTRAMREADDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECQNPREQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIECQNPREQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPEEQFS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPEEQFS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPEEQLF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPEEQLF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX0CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX0DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX0STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX0SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX1CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX1DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX1STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX1SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX2CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX2DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX2STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX2SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX3CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX3DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX3STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX3SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX4CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX4DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX4STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX4SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX5CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX5DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX5STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX5SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX6CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX6DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX6STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX6SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX7CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX7DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX7STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX7SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX0EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX0EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX0EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX1EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX1EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX1EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX2EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX2EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX2EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX3EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX3EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX3EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX4EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX4EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX4EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX5EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX5EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX5EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX6EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX6EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX6EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX7EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX7EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX7EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLEQRESETEIEOSCOUNT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLEQRESETEIEOSCOUNT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLGEN2UPSTREAMPREFERDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLGEN2UPSTREAMPREFERDEEMPH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "SAXISCCTDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTKEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXISCCTKEEP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISCCTLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 32, + "pins": [ + { + "name": "SAXISCCTUSER(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISCCTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "SAXISRQTDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTKEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXISRQTKEEP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISRQTLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 59, + "pins": [ + { + "name": "SAXISRQTUSER(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISRQTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SPAREIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SPAREIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SPAREIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGCURRENTSPEED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGCURRENTSPEED(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGCURRENTSPEED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGCURRENTSPEED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDPASUBSTATECHANGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGDPASUBSTATECHANGE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDPASUBSTATECHANGE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDPASUBSTATECHANGE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDPASUBSTATECHANGE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRCOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCOROUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRFATALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRFATALOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRNONFATALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRNONFATALOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTFUNCTIONNUMBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGEXTFUNCTIONNUMBER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTREADRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREGISTERNUMBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "CFGEXTREGISTERNUMBER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITEBYTEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGEXTWRITEBYTEENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGEXTWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITERECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTWRITERECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCCPLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCCPLD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCCPLH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCCPLH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCNPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCNPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCNPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCNPH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCPH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFLRINPROCESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGFLRINPROCESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFLRINPROCESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFLRINPROCESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFLRINPROCESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFUNCTIONPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFUNCTIONPOWERSTATE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFUNCTIONSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGFUNCTIONSTATUS(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGHOTRESETOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGHOTRESETOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIFAIL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIFAIL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIMASKUPDATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIMASKUPDATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIMMENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGINTERRUPTMSIMMENABLE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSISENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSISENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIVFENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGINTERRUPTMSIVFENABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIXENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXFAIL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXFAIL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSIXMASK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXSENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXSENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGINTERRUPTMSIXVFENABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXVFMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGINTERRUPTMSIXVFMASK(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTSENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTSENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGLINKPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLINKPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLOCALERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLOCALERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLTRENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLTRENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLTSSMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGLTSSMSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMAXPAYLOAD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGMAXPAYLOAD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXPAYLOAD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXPAYLOAD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMAXREADREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGMAXREADREQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXREADREQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXREADREQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREADDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMGMTREADDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREADWRITEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTREADWRITEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGMSGRECEIVEDDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDTYPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGMSGRECEIVEDTYPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGTRANSMITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGNEGOTIATEDWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGNEGOTIATEDWIDTH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGNEGOTIATEDWIDTH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGNEGOTIATEDWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGNEGOTIATEDWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGOBFFENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGOBFFENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGOBFFENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPERFUNCSTATUSDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGPERFUNCSTATUSDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPERFUNCTIONUPDATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPERFUNCTIONUPDATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPHYLINKDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPHYLINKDOWN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPHYLINKSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGPHYLINKSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPHYLINKSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPLSTATUSCHANGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPLSTATUSCHANGE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPOWERSTATECHANGEINTERRUPT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPOWERSTATECHANGEINTERRUPT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGRCBSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGRCBSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRCBSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRCBSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRCBSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHFUNCTIONNUM", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGTPHFUNCTIONNUM(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHFUNCTIONNUM(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHFUNCTIONNUM(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHFUNCTIONNUM(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHREQUESTERENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGTPHREQUESTERENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHREQUESTERENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHREQUESTERENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHREQUESTERENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGTPHSTMODE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTADDRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGTPHSTTADDRESS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTADDRESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTADDRESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTADDRESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTADDRESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTREADENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTPHSTTREADENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTWRITEBYTEVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGTPHSTTWRITEBYTEVALID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEBYTEVALID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEBYTEVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEBYTEVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGTPHSTTWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTWRITEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTPHSTTWRITEENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVFFLRINPROCESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGVFFLRINPROCESS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVFPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "CFGVFPOWERSTATE(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGVFSTATUS(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVFTPHREQUESTERENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGVFTPHREQUESTERENABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVFTPHSTMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 23, + "pins": [ + { + "name": "CFGVFTPHSTMODE(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPDESIGNSWITCH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPDESIGNSWITCH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPEOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPEOS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFMCAPINUSEBYPCIE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFMCAPINUSEBYPCIE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFREQREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFREQREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFRESPRDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CONFRESPRDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CONFRESPRDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CONFRESPVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONFRESPVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGDATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DBGDATAOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGMCAPCSB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGMCAPCSB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGMCAPDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DBGMCAPDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGMCAPDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGMCAPEOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGMCAPEOS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGMCAPERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGMCAPERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGMCAPMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGMCAPMODE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGMCAPRDATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGMCAPRDATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGMCAPRDWRB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGMCAPRDWRB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGMCAPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGMCAPRESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGPLDATABLOCKRECEIVEDAFTEREDS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGPLDATABLOCKRECEIVEDAFTEREDS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGPLGEN3FRAMINGERRORDETECTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGPLGEN3FRAMINGERRORDETECTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGPLGEN3SYNCHEADERERRORDETECTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBGPLGEN3SYNCHEADERERRORDETECTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGPLINFERREDRXELECTRICALIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DBGPLINFERREDRXELECTRICALIDLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGPLINFERREDRXELECTRICALIDLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGPLINFERREDRXELECTRICALIDLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGPLINFERREDRXELECTRICALIDLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGPLINFERREDRXELECTRICALIDLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGPLINFERREDRXELECTRICALIDLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGPLINFERREDRXELECTRICALIDLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGPLINFERREDRXELECTRICALIDLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2LMMASTERTLPSENT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2LMMASTERTLPSENT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2LMMASTERTLPSENT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LL2LMMASTERTLPSENT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2LMMASTERTLPSENTTLPID0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "LL2LMMASTERTLPSENTTLPID0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMASTERTLPSENTTLPID0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMASTERTLPSENTTLPID0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMASTERTLPSENTTLPID0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2LMMASTERTLPSENTTLPID1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "LL2LMMASTERTLPSENTTLPID1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMASTERTLPSENTTLPID1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMASTERTLPSENTTLPID1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMASTERTLPSENTTLPID1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2LMMAXISRXTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "LL2LMMAXISRXTDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2LMMAXISRXTUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "LL2LMMAXISRXTUSER(17)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(16)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2LMMAXISRXTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "LL2LMMAXISRXTVALID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTVALID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTVALID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTVALID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTVALID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTVALID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMMAXISRXTVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LL2LMSAXISTXTREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "LL2LMSAXISTXTREADY(7)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTREADY(6)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTREADY(5)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTREADY(4)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTREADY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTREADY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTREADY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "LL2LMSAXISTXTREADY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "MAXISCQTDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTKEEP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXISCQTKEEP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISCQTLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 84, + "pins": [ + { + "name": "MAXISCQTUSER(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISCQTVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "MAXISRCTDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTKEEP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXISRCTKEEP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISRCTLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 74, + "pins": [ + { + "name": "MAXISRCTUSER(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISRCTVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMREADADDRESSAL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMREADADDRESSAU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMREADADDRESSBL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMREADADDRESSBU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADENABLEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MICOMPLETIONRAMREADENABLEL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADENABLEU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MICOMPLETIONRAMREADENABLEU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEDATAL(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEDATAU(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEENABLEL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEENABLEU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMADDRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREPLAYRAMADDRESS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIREPLAYRAMREADENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIREPLAYRAMWRITEDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIREPLAYRAMWRITEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMREADADDRESSA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREQUESTRAMREADADDRESSA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMREADADDRESSB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREQUESTRAMREADADDRESSB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMREADENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MIREQUESTRAMREADENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREQUESTRAMWRITEADDRESSA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREQUESTRAMWRITEADDRESSB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIREQUESTRAMWRITEDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMWRITEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MIREQUESTRAMWRITEENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIECQNPREQCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PCIECQNPREQCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEPERST0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEPERST0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEPERST1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEPERST1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUM", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PCIERQSEQNUM(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUMVLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQSEQNUMVLD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PCIERQTAG(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAGAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIERQTAGAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAGAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAGVLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQTAGVLD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIETFCNPDAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIETFCNPDAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPDAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIETFCNPHAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIETFCNPHAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPHAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX0EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX0EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX0EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX0EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX1EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX1EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX1EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX1EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX2EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX2EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX2EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX2EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX3EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX3EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX3EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX3EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX4EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX4EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX4EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX4EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX5EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX5EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX5EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX5EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX6EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX6EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX6EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX6EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX7EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX7EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX7EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX7EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX0DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0DEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0DEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX0EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX0EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0MARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETX0MARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0MARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0MARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0RATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0RATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0RATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0RCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0RCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0RESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0RESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0SWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0SWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX1DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1DEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1DEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX1EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX1EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1MARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETX1MARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1MARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1MARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1RATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1RATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1RATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1RCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1RCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1RESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1RESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1SWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1SWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX2DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2DEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2DEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX2EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX2EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2MARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETX2MARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2MARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2MARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2RATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2RATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2RATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2RCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2RCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2RESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2RESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2SWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2SWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX3DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3DEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3DEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX3EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX3EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3MARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETX3MARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3MARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3MARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3RATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3RATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3RATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3RCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3RCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3RESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3RESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3SWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3SWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX4DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4DEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4DEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX4EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX4EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4MARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETX4MARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4MARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4MARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4RATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4RATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4RATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4RCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4RCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4RESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4RESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4SWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4SWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX5DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5DEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5DEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX5EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX5EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5MARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETX5MARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5MARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5MARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5RATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5RATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5RATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5RCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5RCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5RESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5RESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5SWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5SWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX6DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6DEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6DEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX6EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX6EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6MARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETX6MARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6MARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6MARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6RATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6RATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6RATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6RCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6RCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6RESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6RESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6SWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6SWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX7DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7DEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7DEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX7EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX7EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7MARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETX7MARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7MARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7MARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7RATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7RATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7RATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7RCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7RCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7RESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7RESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7SWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7SWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLEQINPROGRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLEQINPROGRESS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLEQPHASE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLEQPHASE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLEQPHASE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXISCCTREADY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXISRQTREADY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SPAREOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SPAREOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SPAREOUT(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DSP_A_B_DATA", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "A(29)", + "direction": "input", + "type": "none" + }, + { + "name": "A(28)", + "direction": "input", + "type": "none" + }, + { + "name": "A(27)", + "direction": "input", + "type": "none" + }, + { + "name": "A(26)", + "direction": "input", + "type": "none" + }, + { + "name": "A(25)", + "direction": "input", + "type": "none" + }, + { + "name": "A(24)", + "direction": "input", + "type": "none" + }, + { + "name": "A(23)", + "direction": "input", + "type": "none" + }, + { + "name": "A(22)", + "direction": "input", + "type": "none" + }, + { + "name": "A(21)", + "direction": "input", + "type": "none" + }, + { + "name": "A(20)", + "direction": "input", + "type": "none" + }, + { + "name": "A(19)", + "direction": "input", + "type": "none" + }, + { + "name": "A(18)", + "direction": "input", + "type": "none" + }, + { + "name": "A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ACIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "ACIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ACIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "BCIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BCIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEA1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEA2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEB1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEB2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A1_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "A1_DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "A1_DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "A2_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 26, + "pins": [ + { + "name": "A2_DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "A2_DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ACOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "ACOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ACOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "A_ALU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "A_ALU(29)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(28)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(27)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(26)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(25)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(24)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(23)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(22)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(21)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(20)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(19)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(18)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(17)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(16)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(15)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(14)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(13)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(12)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(11)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(10)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "A_ALU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "B1_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B1_DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "B1_DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "B2_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B2_DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "B2_DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "BCOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BCOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "B_ALU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B_ALU(17)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(16)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(15)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(14)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(13)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(12)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(11)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(10)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "B_ALU(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BIBUF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PAD", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PAD", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "CFGLUT5", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "O5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "O6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O6", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ISERDESE1", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BITSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BITSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDIV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DDLY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDLY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DYNCLKDIVSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DYNCLKDIVSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DYNCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DYNCLKSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OFB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OFB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "CMAC", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CTL_CAUI4_MODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_CAUI4_MODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_ETYPE_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_ETYPE_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_ETYPE_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_ETYPE_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_ETYPE_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_ETYPE_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_ETYPE_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_ETYPE_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_MCAST_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_MCAST_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_MCAST_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_MCAST_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_MCAST_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_MCAST_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_MCAST_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_MCAST_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_OPCODE_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_OPCODE_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_OPCODE_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_OPCODE_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_OPCODE_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_OPCODE_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_OPCODE_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_OPCODE_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_SA_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_SA_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_SA_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_SA_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_SA_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_SA_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_SA_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_SA_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_UCAST_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_UCAST_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_UCAST_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_UCAST_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_UCAST_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_UCAST_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_UCAST_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_UCAST_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_ENABLE_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_ENABLE_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_ENABLE_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_ENABLE_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_ENABLE_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_ENABLE_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_ENABLE_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_ENABLE_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_FORCE_RESYNC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_FORCE_RESYNC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_PAUSE_ACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CTL_RX_PAUSE_ACK(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_PAUSE_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CTL_RX_PAUSE_ENABLE(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_SYSTEMTIMERIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 79, + "pins": [ + { + "name": "CTL_RX_SYSTEMTIMERIN(79)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(78)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(77)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(76)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(75)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(74)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(73)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(72)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_TEST_PATTERN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_TEST_PATTERN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CTL_TX_PAUSE_ENABLE(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA4(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA5(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA6(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA7(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA8", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA8(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CTL_TX_PAUSE_REQ(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PTP_VLANE_ADJUST_MODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_PTP_VLANE_ADJUST_MODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RESEND_PAUSE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RESEND_PAUSE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_SEND_IDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_SEND_IDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_SEND_RFI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_SEND_RFI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_SYSTEMTIMERIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 79, + "pins": [ + { + "name": "CTL_TX_SYSTEMTIMERIN(79)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(78)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(77)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(76)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(75)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(74)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(73)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(72)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_TEST_PATTERN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_TEST_PATTERN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_ADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRP_ADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRP_DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_ALT_DATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RX_SERDES_ALT_DATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_ALT_DATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RX_SERDES_ALT_DATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_ALT_DATA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RX_SERDES_ALT_DATA2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_ALT_DATA3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RX_SERDES_ALT_DATA3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "RX_SERDES_CLK(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA2(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA3(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA4(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA5(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA6(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA7(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA8", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA8(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA9", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA9(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "RX_SERDES_RESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN2(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN3(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_1588OP_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TX_PTP_1588OP_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_1588OP_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_RXTSTAMP_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_PTP_RXTSTAMP_IN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_TAG_FIELD_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_PTP_TAG_FIELD_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_UPD_CHKSUM_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_PTP_UPD_CHKSUM_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRP_DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRP_RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_RDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT2(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT3(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_10(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_11(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_12", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_12(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_13", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_13(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_14", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_14(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_15(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_16", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_16(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_17(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_18", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_18(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_19", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_19(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_5(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_6(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_7(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_8(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_9(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_PTP_PCSLANE_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RX_PTP_PCSLANE_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_PCSLANE_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_PCSLANE_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_PCSLANE_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_PCSLANE_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_PTP_TSTAMP_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 79, + "pins": [ + { + "name": "RX_PTP_TSTAMP_OUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_ALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_ALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_ALIGNED_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_ALIGNED_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BAD_CODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "STAT_RX_BAD_CODE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_CODE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_CODE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_CODE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_CODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_CODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_CODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BAD_FCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_BAD_FCS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_FCS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_FCS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_FCS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BAD_PREAMBLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BAD_PREAMBLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BAD_SFD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BAD_SFD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_10", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_11", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_12", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_12", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_13", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_13", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_14", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_14", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_15", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_16", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_16", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_17", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_18", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_18", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_19", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_19", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_7", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_8", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_9", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BLOCK_LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_BLOCK_LOCK(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BROADCAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BROADCAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAGMENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAGMENT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAGMENT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAGMENT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAGMENT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_10(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_10(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_10(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_10(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_11(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_11(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_11(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_11(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_12", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_12(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_12(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_12(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_12(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_13", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_13(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_13(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_13(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_13(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_14", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_14(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_14(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_14(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_14(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_15(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_15(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_15(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_15(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_16", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_16(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_16(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_16(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_16(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_17(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_17(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_17(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_17(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_18", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_18(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_18(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_18(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_18(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_19", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_19(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_19(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_19(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_19(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_9(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_9(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_9(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_9(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_10", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_11", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_12", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_12", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_13", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_13", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_14", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_14", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_15", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_16", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_16", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_17", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_18", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_18", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_19", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_19", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_7", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_8", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_9", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_GOT_SIGNAL_OS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_GOT_SIGNAL_OS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_HI_BER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_HI_BER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_INRANGEERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_INRANGEERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_INTERNAL_LOCAL_FAULT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_INTERNAL_LOCAL_FAULT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_JABBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_JABBER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "STAT_RX_LANE0_VLM_BIP7(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_LANE0_VLM_BIP7_VALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_LOCAL_FAULT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_LOCAL_FAULT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_MF_ERR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_LEN_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_MF_LEN_ERR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_REPEAT_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_MF_REPEAT_ERR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MULTICAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MULTICAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_OVERSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_OVERSIZE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_1024_1518_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_1024_1518_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_128_255_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_128_255_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_1519_1522_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_1519_1522_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_1523_1548_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_1523_1548_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_1549_2047_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_1549_2047_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_2048_4095_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_2048_4095_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_256_511_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_256_511_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_4096_8191_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_4096_8191_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_512_1023_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_512_1023_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_64_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_64_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_65_127_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_65_127_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_8192_9215_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_8192_9215_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_BAD_FCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_BAD_FCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_LARGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_LARGE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_SMALL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_PACKET_SMALL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PACKET_SMALL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PACKET_SMALL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PACKET_SMALL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PAUSE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA4(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA5(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA6(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA7(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA8(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "STAT_RX_PAUSE_REQ(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "STAT_RX_PAUSE_VALID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RECEIVED_LOCAL_FAULT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RECEIVED_LOCAL_FAULT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_REMOTE_FAULT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_REMOTE_FAULT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_STATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_STOMPED_FCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_STOMPED_FCS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_STOMPED_FCS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_STOMPED_FCS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_STOMPED_FCS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_SYNCED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_SYNCED(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_SYNCED_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_SYNCED_ERR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TEST_PATTERN_MISMATCH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_TEST_PATTERN_MISMATCH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TEST_PATTERN_MISMATCH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TEST_PATTERN_MISMATCH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TOOLONG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_TOOLONG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TOTAL_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "STAT_RX_TOTAL_BYTES(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TOTAL_GOOD_PACKETS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_TOTAL_GOOD_PACKETS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TOTAL_PACKETS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_TOTAL_PACKETS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_PACKETS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_PACKETS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_PACKETS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TRUNCATED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_TRUNCATED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_UNDERSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "STAT_RX_UNDERSIZE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_UNDERSIZE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_UNDERSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_UNDERSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_UNICAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_UNICAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_USER_PAUSE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_USER_PAUSE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VLAN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_VLAN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_DEMUXED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_VL_DEMUXED(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_10(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_10(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_10(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_10(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_10(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_11(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_11(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_11(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_11(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_11(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_12", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_12(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_12(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_12(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_12(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_12(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_13", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_13(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_13(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_13(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_13(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_13(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_14", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_14(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_14(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_14(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_14(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_14(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_15(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_15(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_15(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_15(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_15(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_16", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_16(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_16(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_16(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_16(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_16(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_17(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_17(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_17(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_17(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_17(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_18", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_18(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_18(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_18(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_18(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_18(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_19", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_19(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_19(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_19(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_19(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_19(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_8(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_9(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_9(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_9(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_9(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_9(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_BAD_FCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_BAD_FCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_BROADCAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_BROADCAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_FRAME_ERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_FRAME_ERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_LOCAL_FAULT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_LOCAL_FAULT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_MULTICAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_MULTICAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_1024_1518_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_1024_1518_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_128_255_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_128_255_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_1519_1522_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_1519_1522_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_1523_1548_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_1523_1548_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_1549_2047_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_1549_2047_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_2048_4095_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_2048_4095_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_256_511_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_256_511_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_4096_8191_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_4096_8191_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_512_1023_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_512_1023_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_64_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_64_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_65_127_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_65_127_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_8192_9215_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_8192_9215_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_LARGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_LARGE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_SMALL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_SMALL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PAUSE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PAUSE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PAUSE_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "STAT_TX_PAUSE_VALID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PTP_FIFO_READ_ERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PTP_FIFO_READ_ERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PTP_FIFO_WRITE_ERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PTP_FIFO_WRITE_ERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_TOTAL_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "STAT_TX_TOTAL_BYTES(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_TOTAL_GOOD_PACKETS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_TOTAL_GOOD_PACKETS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_TOTAL_PACKETS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_TOTAL_PACKETS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_UNICAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_UNICAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_USER_PAUSE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_USER_PAUSE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_VLAN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_VLAN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_OVFOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_OVFOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_PCSLANE_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TX_PTP_PCSLANE_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_PCSLANE_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_PCSLANE_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_PCSLANE_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_PCSLANE_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_TSTAMP_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 79, + "pins": [ + { + "name": "TX_PTP_TSTAMP_OUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_PTP_TSTAMP_TAG_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_TSTAMP_VALID_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_PTP_TSTAMP_VALID_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_RDYOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_RDYOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_ALT_DATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_SERDES_ALT_DATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_ALT_DATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_SERDES_ALT_DATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_ALT_DATA2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_SERDES_ALT_DATA2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_ALT_DATA3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_SERDES_ALT_DATA3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA2(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA3(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA4(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA5(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA6(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA7(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA8(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA9(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_UNFOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_UNFOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "XADC", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CONVST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONVST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONVSTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONVSTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VAUXN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "VAUXN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VAUXP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "VAUXP(15)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(14)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(13)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(12)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(11)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(10)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(9)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(8)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BUSY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EOC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EOC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EOS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "JTAGBUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "JTAGBUSY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "JTAGLOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "JTAGLOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "JTAGMODIFIED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "JTAGMODIFIED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ALM", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "ALM(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CHANNEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CHANNEL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MUXADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "MUXADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "USR_ACCESSE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CFGCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DATA(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM32X1S", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFGP", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "I" + } + ] + } + ] + }, + { + "name": "OUT_FIFO", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "RDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D5(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D6(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D7(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D7(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D7(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D7(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D7(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D7(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D7(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D7(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D8", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D8(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D8(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D8(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D8(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D8(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D8(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D8(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D8(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D9", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D9(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D9(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D9(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D9(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D9(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D9(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D9(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D9(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ALMOSTEMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ALMOSTEMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ALMOSTFULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ALMOSTFULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "Q0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "Q1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "Q2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "Q3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "Q4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "Q7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "Q8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "Q9(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q9(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q9(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q9(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q5(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q6(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ICAPE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CSIB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CSIB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDWRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDWRB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "I(31)", + "direction": "input", + "type": "none" + }, + { + "name": "I(30)", + "direction": "input", + "type": "none" + }, + { + "name": "I(29)", + "direction": "input", + "type": "none" + }, + { + "name": "I(28)", + "direction": "input", + "type": "none" + }, + { + "name": "I(27)", + "direction": "input", + "type": "none" + }, + { + "name": "I(26)", + "direction": "input", + "type": "none" + }, + { + "name": "I(25)", + "direction": "input", + "type": "none" + }, + { + "name": "I(24)", + "direction": "input", + "type": "none" + }, + { + "name": "I(23)", + "direction": "input", + "type": "none" + }, + { + "name": "I(22)", + "direction": "input", + "type": "none" + }, + { + "name": "I(21)", + "direction": "input", + "type": "none" + }, + { + "name": "I(20)", + "direction": "input", + "type": "none" + }, + { + "name": "I(19)", + "direction": "input", + "type": "none" + }, + { + "name": "I(18)", + "direction": "input", + "type": "none" + }, + { + "name": "I(17)", + "direction": "input", + "type": "none" + }, + { + "name": "I(16)", + "direction": "input", + "type": "none" + }, + { + "name": "I(15)", + "direction": "input", + "type": "none" + }, + { + "name": "I(14)", + "direction": "input", + "type": "none" + }, + { + "name": "I(13)", + "direction": "input", + "type": "none" + }, + { + "name": "I(12)", + "direction": "input", + "type": "none" + }, + { + "name": "I(11)", + "direction": "input", + "type": "none" + }, + { + "name": "I(10)", + "direction": "input", + "type": "none" + }, + { + "name": "I(9)", + "direction": "input", + "type": "none" + }, + { + "name": "I(8)", + "direction": "input", + "type": "none" + }, + { + "name": "I(7)", + "direction": "input", + "type": "none" + }, + { + "name": "I(6)", + "direction": "input", + "type": "none" + }, + { + "name": "I(5)", + "direction": "input", + "type": "none" + }, + { + "name": "I(4)", + "direction": "input", + "type": "none" + }, + { + "name": "I(3)", + "direction": "input", + "type": "none" + }, + { + "name": "I(2)", + "direction": "input", + "type": "none" + }, + { + "name": "I(1)", + "direction": "input", + "type": "none" + }, + { + "name": "I(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "O(31)", + "direction": "output", + "type": "none" + }, + { + "name": "O(30)", + "direction": "output", + "type": "none" + }, + { + "name": "O(29)", + "direction": "output", + "type": "none" + }, + { + "name": "O(28)", + "direction": "output", + "type": "none" + }, + { + "name": "O(27)", + "direction": "output", + "type": "none" + }, + { + "name": "O(26)", + "direction": "output", + "type": "none" + }, + { + "name": "O(25)", + "direction": "output", + "type": "none" + }, + { + "name": "O(24)", + "direction": "output", + "type": "none" + }, + { + "name": "O(23)", + "direction": "output", + "type": "none" + }, + { + "name": "O(22)", + "direction": "output", + "type": "none" + }, + { + "name": "O(21)", + "direction": "output", + "type": "none" + }, + { + "name": "O(20)", + "direction": "output", + "type": "none" + }, + { + "name": "O(19)", + "direction": "output", + "type": "none" + }, + { + "name": "O(18)", + "direction": "output", + "type": "none" + }, + { + "name": "O(17)", + "direction": "output", + "type": "none" + }, + { + "name": "O(16)", + "direction": "output", + "type": "none" + }, + { + "name": "O(15)", + "direction": "output", + "type": "none" + }, + { + "name": "O(14)", + "direction": "output", + "type": "none" + }, + { + "name": "O(13)", + "direction": "output", + "type": "none" + }, + { + "name": "O(12)", + "direction": "output", + "type": "none" + }, + { + "name": "O(11)", + "direction": "output", + "type": "none" + }, + { + "name": "O(10)", + "direction": "output", + "type": "none" + }, + { + "name": "O(9)", + "direction": "output", + "type": "none" + }, + { + "name": "O(8)", + "direction": "output", + "type": "none" + }, + { + "name": "O(7)", + "direction": "output", + "type": "none" + }, + { + "name": "O(6)", + "direction": "output", + "type": "none" + }, + { + "name": "O(5)", + "direction": "output", + "type": "none" + }, + { + "name": "O(4)", + "direction": "output", + "type": "none" + }, + { + "name": "O(3)", + "direction": "output", + "type": "none" + }, + { + "name": "O(2)", + "direction": "output", + "type": "none" + }, + { + "name": "O(1)", + "direction": "output", + "type": "none" + }, + { + "name": "O(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "JTAG_SIME2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "TCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TDI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TMS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TMS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TDO", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "I" + } + ] + } + ] + }, + { + "name": "SIM_CONFIGE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CSB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CSB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "M", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "M(2)", + "direction": "input", + "type": "none" + }, + { + "name": "M(1)", + "direction": "input", + "type": "none" + }, + { + "name": "M(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PROGB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PROGB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDWRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDWRB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AVAIL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AVAIL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CSOB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CSOB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PRDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PRDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PRERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PRERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DONE", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DONE", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "D(31)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(30)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(29)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(28)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(27)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(26)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(25)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(24)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(23)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(22)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(21)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(20)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(19)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(18)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(17)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(16)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(15)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(14)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(13)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(12)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(11)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(10)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(9)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "D(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "INITB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INITB", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "CARRY8", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CI_TOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CI_TOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "S(7)", + "direction": "input", + "type": "none" + }, + { + "name": "S(6)", + "direction": "input", + "type": "none" + }, + { + "name": "S(5)", + "direction": "input", + "type": "none" + }, + { + "name": "S(4)", + "direction": "input", + "type": "none" + }, + { + "name": "S(3)", + "direction": "input", + "type": "none" + }, + { + "name": "S(2)", + "direction": "input", + "type": "none" + }, + { + "name": "S(1)", + "direction": "input", + "type": "none" + }, + { + "name": "S(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "O(7)", + "direction": "output", + "type": "none" + }, + { + "name": "O(6)", + "direction": "output", + "type": "none" + }, + { + "name": "O(5)", + "direction": "output", + "type": "none" + }, + { + "name": "O(4)", + "direction": "output", + "type": "none" + }, + { + "name": "O(3)", + "direction": "output", + "type": "none" + }, + { + "name": "O(2)", + "direction": "output", + "type": "none" + }, + { + "name": "O(1)", + "direction": "output", + "type": "none" + }, + { + "name": "O(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFH", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "I" + } + ] + } + ] + }, + { + "name": "BUFMRCE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTHE2_CHANNEL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTHRXN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHRXN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTHRXP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHRXP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRESETSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRESETSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTTXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTTXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETOVRD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESETRSV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESETRSV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDDIEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDDIEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECM1EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFECM1EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAPADAPTEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFESLIDETAPADAPTEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAPHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFESLIDETAPHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAPINITOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFESLIDETAPINITOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAPONLYADAPTEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFESLIDETAPONLYADAPTEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAPOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFESLIDETAPOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAPSTROBE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFESLIDETAPSTROBE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP6HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP6HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP6OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP6OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP7HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP7HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP7OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP7OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVSEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVSEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTNTRLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTNTRLEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTTESTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTTESTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXQPIEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPIEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SETERRSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SETERRSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDIFFPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPISOPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPISOPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSORINV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOSTCURSORINV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSORINV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRECURSORINV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPIBIASEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPIBIASEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPISTRONGPDOWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISTRONGPDOWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPIWEAKPUP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPIWEAKPUP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSTARTSEQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSTARTSEQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSWING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSWING", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXADAPTSELTEST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "RXADAPTSELTEST(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXADAPTSELTEST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "GTRSVD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TSTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "TSTIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXELECIDLEMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXELECIDLEMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMONITORSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXMONITORSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXMONITORSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CPLLREFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOOPBACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "LOOPBACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDLEVEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXCHBONDLEVEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXBUFDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXBUFDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXBUFDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXBUFDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXHEADER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMARGIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXMARGIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTCFG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXOSINTCFG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTID0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXOSINTID0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTID0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTID0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTID0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXDIFFCTRL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PCSRSVDIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PMARSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXDFEAGCTRL(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFEAGCTRL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFEAGCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFEAGCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFEAGCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXDFESLIDETAP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFESLIDETAP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFESLIDETAP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFESLIDETAP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFESLIDETAP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSTEPSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPIPPMSTEPSIZE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPOSTCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPRECURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAPID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "RXDFESLIDETAPID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFESLIDETAPID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFESLIDETAPID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFESLIDETAPID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFESLIDETAPID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFESLIDETAPID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TXDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMAINCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXMAINCURSOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSEQUENCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXSEQUENCE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TX8B10BBYPASS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCHARDISPMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXCHARDISPMODE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCHARDISPVAL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXCHARDISPVAL(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXCHARISK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTHTXN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHTXN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTHTXP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHTXP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RSOSINTDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSOSINTDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAPSTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFESLIDETAPSTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAPSTROBEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFESLIDETAPSTROBEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDFESLIDETAPSTROBESTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFESLIDETAPSTROBESTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDFESTADAPTDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFESTADAPTDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXQPISENN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPISENN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXQPISENP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPISENP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXGEARBOXREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXGEARBOXREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXQPISENN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISENN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXQPISENP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISENP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "DMONITOROUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCLKCORCNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXCLKCORCNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCLKCORCNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXDATAVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADERVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXHEADERVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADERVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTARTOFSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSTARTOFSEQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTARTOFSEQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXBUFSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXPHMONITOR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHSLIPMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXPHSLIPMONITOR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "RXHEADER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RXDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RXMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHARISCOMMA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCHARISCOMMA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCHARISK(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDISPERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXDISPERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXNOTINTABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXNOTINTABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "CMACE4", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CTL_CAUI4_MODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_CAUI4_MODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RSFEC_ENABLE_TRANSCODER_BYPASS_MODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RSFEC_ENABLE_TRANSCODER_BYPASS_MODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RSFEC_IEEE_ERROR_INDICATION_MODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RSFEC_IEEE_ERROR_INDICATION_MODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_ETYPE_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_ETYPE_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_ETYPE_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_ETYPE_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_ETYPE_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_ETYPE_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_ETYPE_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_ETYPE_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_MCAST_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_MCAST_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_MCAST_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_MCAST_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_MCAST_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_MCAST_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_MCAST_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_MCAST_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_OPCODE_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_OPCODE_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_OPCODE_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_OPCODE_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_OPCODE_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_OPCODE_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_OPCODE_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_OPCODE_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_SA_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_SA_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_SA_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_SA_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_SA_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_SA_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_SA_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_SA_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_UCAST_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_UCAST_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_UCAST_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_UCAST_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_UCAST_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_UCAST_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_CHECK_UCAST_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_CHECK_UCAST_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_ENABLE_GCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_ENABLE_GCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_ENABLE_GPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_ENABLE_GPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_ENABLE_PCP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_ENABLE_PCP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_ENABLE_PPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_ENABLE_PPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_FORCE_RESYNC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_FORCE_RESYNC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_PAUSE_ACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CTL_RX_PAUSE_ACK(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_PAUSE_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CTL_RX_PAUSE_ENABLE(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_PAUSE_ENABLE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RSFEC_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RSFEC_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RSFEC_ENABLE_CORRECTION", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RSFEC_ENABLE_CORRECTION", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_RSFEC_ENABLE_INDICATION", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_RSFEC_ENABLE_INDICATION", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_SYSTEMTIMERIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 79, + "pins": [ + { + "name": "CTL_RX_SYSTEMTIMERIN(79)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(78)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(77)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(76)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(75)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(74)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(73)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(72)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_RX_SYSTEMTIMERIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_RX_TEST_PATTERN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_RX_TEST_PATTERN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CTL_TX_PAUSE_ENABLE(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_ENABLE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA4(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA5(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA5(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA6(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA6(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA7(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA7(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_QUANTA8", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_QUANTA8(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_QUANTA8(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER5(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER6(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER7(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REFRESH_TIMER8(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PAUSE_REQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CTL_TX_PAUSE_REQ(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_PAUSE_REQ(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_PTP_VLANE_ADJUST_MODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_PTP_VLANE_ADJUST_MODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RESEND_PAUSE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RESEND_PAUSE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_RSFEC_ENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_RSFEC_ENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_SEND_IDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_SEND_IDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_SEND_LFI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_SEND_LFI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_SEND_RFI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_SEND_RFI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_SYSTEMTIMERIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 79, + "pins": [ + { + "name": "CTL_TX_SYSTEMTIMERIN(79)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(78)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(77)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(76)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(75)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(74)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(73)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(72)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CTL_TX_SYSTEMTIMERIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CTL_TX_TEST_PATTERN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CTL_TX_TEST_PATTERN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_ADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRP_ADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_ADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRP_DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRP_DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSFEC_BYPASS_RX_DIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 329, + "pins": [ + { + "name": "RSFEC_BYPASS_RX_DIN(329)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(328)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(327)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(326)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(325)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(324)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(323)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(322)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(321)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(320)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(319)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(318)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(317)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(316)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(315)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(314)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(313)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(312)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(311)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(310)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(309)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(308)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(307)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(306)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(305)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(304)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(303)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(302)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(301)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(300)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(299)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(298)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(297)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(296)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(295)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(294)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(293)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(292)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(291)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(290)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(289)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(288)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(287)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(286)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(285)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(284)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(283)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(282)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(281)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(280)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(279)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(278)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(277)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(276)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(275)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(274)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(273)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(272)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(271)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(270)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(269)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(268)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(267)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(266)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(265)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(264)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(263)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(262)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(261)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(260)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(259)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(258)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(257)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(256)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(255)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(254)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(253)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(252)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(251)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(250)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(249)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(248)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(247)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(246)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(245)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(244)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(243)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(242)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(241)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(240)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(239)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(238)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(237)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(236)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(235)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(234)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(233)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(232)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(231)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(230)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(229)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(228)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(227)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(226)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(225)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(224)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(223)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(222)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(221)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(220)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(219)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(218)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(217)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(216)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(215)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(214)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(213)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(212)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(211)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(210)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(209)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(208)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(207)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(206)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(205)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(204)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(203)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(202)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(201)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(200)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(199)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(198)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(197)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(196)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(195)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(194)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(193)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(192)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(191)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(190)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(189)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(188)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(187)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(186)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(185)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(184)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(183)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(182)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(181)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(180)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(179)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(178)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(177)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(176)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(175)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(174)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(173)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(172)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(171)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(170)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(169)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(168)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(167)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(166)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(165)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(164)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(163)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(162)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(161)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(160)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(159)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(158)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(157)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(156)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(155)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(154)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(153)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(152)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(151)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(150)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(149)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(148)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(147)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(146)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(145)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(144)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(143)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(142)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(141)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(140)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(139)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(138)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(137)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(136)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(135)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(134)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(133)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(132)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(131)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(130)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(129)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(128)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(127)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(126)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(125)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(124)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(123)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(122)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(121)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(120)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(119)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(118)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(117)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(116)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(115)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(114)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(113)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(112)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(111)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(110)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(109)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(108)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(107)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(106)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(105)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(104)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(103)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(102)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(101)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(100)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(99)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(98)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(97)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(96)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(95)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(94)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(93)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(92)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(91)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(90)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(89)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(88)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(87)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(86)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(85)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(84)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(83)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(82)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(81)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(80)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(79)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(78)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(77)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(76)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(75)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(74)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(73)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(72)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(71)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(70)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(69)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(68)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(67)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(66)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(65)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(64)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSFEC_BYPASS_RX_DIN_CW_START", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSFEC_BYPASS_RX_DIN_CW_START", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSFEC_BYPASS_TX_DIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 329, + "pins": [ + { + "name": "RSFEC_BYPASS_TX_DIN(329)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(328)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(327)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(326)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(325)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(324)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(323)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(322)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(321)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(320)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(319)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(318)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(317)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(316)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(315)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(314)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(313)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(312)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(311)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(310)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(309)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(308)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(307)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(306)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(305)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(304)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(303)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(302)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(301)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(300)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(299)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(298)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(297)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(296)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(295)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(294)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(293)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(292)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(291)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(290)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(289)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(288)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(287)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(286)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(285)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(284)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(283)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(282)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(281)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(280)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(279)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(278)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(277)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(276)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(275)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(274)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(273)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(272)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(271)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(270)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(269)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(268)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(267)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(266)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(265)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(264)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(263)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(262)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(261)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(260)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(259)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(258)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(257)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(256)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(255)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(254)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(253)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(252)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(251)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(250)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(249)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(248)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(247)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(246)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(245)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(244)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(243)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(242)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(241)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(240)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(239)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(238)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(237)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(236)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(235)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(234)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(233)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(232)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(231)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(230)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(229)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(228)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(227)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(226)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(225)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(224)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(223)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(222)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(221)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(220)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(219)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(218)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(217)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(216)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(215)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(214)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(213)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(212)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(211)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(210)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(209)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(208)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(207)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(206)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(205)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(204)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(203)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(202)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(201)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(200)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(199)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(198)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(197)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(196)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(195)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(194)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(193)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(192)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(191)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(190)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(189)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(188)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(187)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(186)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(185)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(184)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(183)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(182)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(181)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(180)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(179)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(178)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(177)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(176)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(175)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(174)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(173)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(172)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(171)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(170)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(169)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(168)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(167)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(166)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(165)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(164)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(163)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(162)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(161)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(160)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(159)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(158)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(157)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(156)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(155)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(154)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(153)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(152)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(151)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(150)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(149)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(148)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(147)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(146)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(145)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(144)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(143)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(142)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(141)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(140)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(139)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(138)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(137)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(136)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(135)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(134)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(133)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(132)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(131)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(130)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(129)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(128)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(127)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(126)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(125)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(124)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(123)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(122)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(121)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(120)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(119)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(118)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(117)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(116)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(115)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(114)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(113)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(112)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(111)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(110)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(109)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(108)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(107)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(106)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(105)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(104)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(103)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(102)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(101)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(100)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(99)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(98)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(97)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(96)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(95)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(94)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(93)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(92)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(91)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(90)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(89)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(88)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(87)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(86)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(85)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(84)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(83)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(82)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(81)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(80)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(79)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(78)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(77)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(76)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(75)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(74)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(73)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(72)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(71)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(70)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(69)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(68)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(67)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(66)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(65)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(64)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSFEC_BYPASS_TX_DIN_CW_START", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSFEC_BYPASS_TX_DIN_CW_START", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_ALT_DATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RX_SERDES_ALT_DATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_ALT_DATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RX_SERDES_ALT_DATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_ALT_DATA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RX_SERDES_ALT_DATA2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_ALT_DATA3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RX_SERDES_ALT_DATA3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_ALT_DATA3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "RX_SERDES_CLK(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_CLK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA2(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RX_SERDES_DATA3(63)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(62)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(61)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(60)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(59)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(58)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(57)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(56)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(55)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(54)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(53)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(52)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(51)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(50)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(49)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(48)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(47)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(46)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(45)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(44)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(43)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(42)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(41)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(40)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA4(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA5(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA5(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA6(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA6(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA7(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA7(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA8", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA8(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA8(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_DATA9", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "RX_SERDES_DATA9(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_DATA9(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_SERDES_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "RX_SERDES_RESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_SERDES_RESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN0(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN1(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN2(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_DATAIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TX_DATAIN3(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_DATAIN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ENAIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ENAIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_EOPIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_EOPIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_ERRIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_ERRIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_MTYIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TX_MTYIN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_MTYIN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PREIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 55, + "pins": [ + { + "name": "TX_PREIN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PREIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_1588OP_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TX_PTP_1588OP_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_1588OP_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_CHKSUM_OFFSET_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_RXTSTAMP_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_PTP_RXTSTAMP_IN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_RXTSTAMP_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_TAG_FIELD_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_PTP_TAG_FIELD_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TAG_FIELD_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OFFSET_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_UPD_CHKSUM_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_PTP_UPD_CHKSUM_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_SOPIN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_SOPIN3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRP_DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRP_DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRP_DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRP_RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRP_RDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RSFEC_BYPASS_RX_DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 329, + "pins": [ + { + "name": "RSFEC_BYPASS_RX_DOUT(329)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(328)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(327)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(326)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(325)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(324)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(323)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(322)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(321)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(320)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(319)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(318)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(317)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(316)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(315)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(314)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(313)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(312)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(311)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(310)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(309)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(308)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(307)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(306)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(305)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(304)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(303)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(302)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(301)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(300)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(299)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(298)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(297)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(296)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(295)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(294)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(293)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(292)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(291)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(290)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(289)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(288)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(287)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(286)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(285)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(284)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(283)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(282)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(281)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(280)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(279)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(278)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(277)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(276)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(275)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(274)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(273)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(272)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(271)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(270)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(269)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(268)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(267)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(266)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(265)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(264)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(263)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(262)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(261)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(260)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(259)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(258)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(257)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(256)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(255)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(254)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(253)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(252)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(251)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(250)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(249)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(248)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(247)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(246)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(245)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(244)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(243)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(242)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(241)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(240)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(239)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(238)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(237)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(236)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(235)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(234)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(233)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(232)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(231)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(230)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(229)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(228)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(227)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(226)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(225)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(224)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(223)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(222)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(221)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(220)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(219)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(218)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(217)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(216)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(215)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(214)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(213)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(212)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(211)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(210)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(209)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(208)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(207)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(206)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(205)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(204)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(203)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(202)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(201)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(200)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(199)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(198)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(197)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(196)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(195)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(194)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(193)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(192)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(191)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(190)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(189)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(188)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(187)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(186)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(185)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(184)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(183)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(182)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(181)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(180)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(179)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(178)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(177)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(176)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(175)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(174)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(173)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(172)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(171)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(170)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(169)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(168)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(167)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(166)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(165)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(164)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(163)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(162)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(161)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(160)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(159)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(158)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(157)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(156)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(155)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(154)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(153)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(152)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(151)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(150)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(149)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(148)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(147)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(146)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(145)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(144)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(143)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(142)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(141)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(140)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(139)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(138)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(137)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(136)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(135)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(134)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(133)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(132)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(131)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(130)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(129)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(128)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_RX_DOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RSFEC_BYPASS_RX_DOUT_CW_START", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSFEC_BYPASS_RX_DOUT_CW_START", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RSFEC_BYPASS_RX_DOUT_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSFEC_BYPASS_RX_DOUT_VALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RSFEC_BYPASS_TX_DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 329, + "pins": [ + { + "name": "RSFEC_BYPASS_TX_DOUT(329)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(328)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(327)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(326)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(325)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(324)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(323)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(322)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(321)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(320)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(319)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(318)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(317)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(316)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(315)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(314)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(313)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(312)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(311)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(310)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(309)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(308)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(307)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(306)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(305)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(304)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(303)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(302)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(301)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(300)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(299)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(298)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(297)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(296)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(295)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(294)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(293)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(292)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(291)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(290)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(289)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(288)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(287)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(286)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(285)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(284)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(283)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(282)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(281)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(280)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(279)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(278)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(277)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(276)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(275)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(274)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(273)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(272)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(271)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(270)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(269)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(268)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(267)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(266)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(265)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(264)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(263)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(262)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(261)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(260)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(259)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(258)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(257)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(256)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(255)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(254)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(253)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(252)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(251)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(250)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(249)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(248)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(247)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(246)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(245)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(244)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(243)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(242)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(241)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(240)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(239)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(238)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(237)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(236)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(235)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(234)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(233)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(232)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(231)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(230)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(229)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(228)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(227)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(226)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(225)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(224)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(223)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(222)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(221)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(220)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(219)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(218)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(217)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(216)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(215)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(214)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(213)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(212)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(211)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(210)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(209)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(208)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(207)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(206)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(205)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(204)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(203)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(202)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(201)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(200)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(199)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(198)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(197)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(196)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(195)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(194)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(193)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(192)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(191)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(190)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(189)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(188)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(187)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(186)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(185)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(184)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(183)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(182)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(181)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(180)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(179)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(178)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(177)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(176)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(175)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(174)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(173)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(172)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(171)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(170)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(169)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(168)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(167)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(166)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(165)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(164)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(163)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(162)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(161)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(160)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(159)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(158)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(157)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(156)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(155)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(154)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(153)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(152)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(151)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(150)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(149)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(148)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(147)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(146)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(145)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(144)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(143)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(142)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(141)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(140)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(139)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(138)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(137)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(136)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(135)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(134)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(133)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(132)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(131)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(130)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(129)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(128)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RSFEC_BYPASS_TX_DOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RSFEC_BYPASS_TX_DOUT_CW_START", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSFEC_BYPASS_TX_DOUT_CW_START", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RSFEC_BYPASS_TX_DOUT_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSFEC_BYPASS_TX_DOUT_VALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT0(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT1(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT2(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_DATAOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RX_DATAOUT3(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_DATAOUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ENAOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ENAOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_EOPOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_EOPOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_ERROUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_ERROUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_10(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_10(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_11(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_11(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_12", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_12(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_12(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_13", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_13(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_13(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_14", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_14(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_14(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_15(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_15(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_16", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_16(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_16(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_17(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_17(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_18", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_18(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_18(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_19", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_19(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_19(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_5(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_6(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_7(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_8(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_LANE_ALIGNER_FILL_9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RX_LANE_ALIGNER_FILL_9(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_LANE_ALIGNER_FILL_9(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_MTYOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RX_MTYOUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_MTYOUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_BIP8_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RX_OTN_BIP8_0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_BIP8_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RX_OTN_BIP8_1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_BIP8_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RX_OTN_BIP8_2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_BIP8_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RX_OTN_BIP8_3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_BIP8_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RX_OTN_BIP8_4(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_BIP8_4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_DATA_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_OTN_DATA_0(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_DATA_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_OTN_DATA_1(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_DATA_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_OTN_DATA_2(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_DATA_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_OTN_DATA_3(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_DATA_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 65, + "pins": [ + { + "name": "RX_OTN_DATA_4(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_OTN_DATA_4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_ENA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_OTN_ENA", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_LANE0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_OTN_LANE0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_OTN_VLMARKER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_OTN_VLMARKER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_PREOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 55, + "pins": [ + { + "name": "RX_PREOUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PREOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_PTP_PCSLANE_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RX_PTP_PCSLANE_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_PCSLANE_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_PCSLANE_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_PCSLANE_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_PCSLANE_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_PTP_TSTAMP_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 79, + "pins": [ + { + "name": "RX_PTP_TSTAMP_OUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_PTP_TSTAMP_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_SOPOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX_SOPOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_ALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_ALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_ALIGNED_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_ALIGNED_ERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BAD_CODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_BAD_CODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_CODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_CODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BAD_FCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_BAD_FCS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_FCS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BAD_FCS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BAD_PREAMBLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BAD_PREAMBLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BAD_SFD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BAD_SFD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_10", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_11", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_12", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_12", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_13", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_13", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_14", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_14", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_15", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_16", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_16", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_17", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_18", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_18", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_19", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_19", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_7", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_8", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BIP_ERR_9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BIP_ERR_9", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BLOCK_LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_BLOCK_LOCK(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_BLOCK_LOCK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_BROADCAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_BROADCAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAGMENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_FRAGMENT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAGMENT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAGMENT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_10(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_10(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_11(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_11(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_12", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_12(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_12(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_13", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_13(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_13(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_14", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_14(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_14(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_15(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_15(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_16", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_16(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_16(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_17(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_17(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_18", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_18(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_18(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_19", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_19(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_19(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_9(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_FRAMING_ERR_9(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_10", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_11", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_12", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_12", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_13", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_13", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_14", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_14", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_15", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_16", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_16", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_17", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_18", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_18", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_19", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_19", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_7", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_8", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_FRAMING_ERR_VALID_9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_FRAMING_ERR_VALID_9", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_GOT_SIGNAL_OS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_GOT_SIGNAL_OS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_HI_BER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_HI_BER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_INRANGEERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_INRANGEERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_INTERNAL_LOCAL_FAULT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_INTERNAL_LOCAL_FAULT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_JABBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_JABBER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "STAT_RX_LANE0_VLM_BIP7(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_LANE0_VLM_BIP7_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_LANE0_VLM_BIP7_VALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_LOCAL_FAULT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_LOCAL_FAULT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_MF_ERR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_LEN_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_MF_LEN_ERR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_LEN_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MF_REPEAT_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_MF_REPEAT_ERR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_MF_REPEAT_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_MULTICAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_MULTICAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_OVERSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_OVERSIZE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_1024_1518_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_1024_1518_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_128_255_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_128_255_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_1519_1522_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_1519_1522_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_1523_1548_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_1523_1548_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_1549_2047_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_1549_2047_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_2048_4095_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_2048_4095_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_256_511_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_256_511_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_4096_8191_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_4096_8191_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_512_1023_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_512_1023_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_64_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_64_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_65_127_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_65_127_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_8192_9215_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_8192_9215_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_BAD_FCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_BAD_FCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_LARGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PACKET_LARGE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PACKET_SMALL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_PACKET_SMALL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PACKET_SMALL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PACKET_SMALL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_PAUSE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA4(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA5(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA6(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA7(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_QUANTA8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "STAT_RX_PAUSE_QUANTA8(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_QUANTA8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_REQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "STAT_RX_PAUSE_REQ(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_REQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_PAUSE_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "STAT_RX_PAUSE_VALID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_PAUSE_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RECEIVED_LOCAL_FAULT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RECEIVED_LOCAL_FAULT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_REMOTE_FAULT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_REMOTE_FAULT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_AM_LOCK0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RSFEC_AM_LOCK0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_AM_LOCK1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RSFEC_AM_LOCK1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_AM_LOCK2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RSFEC_AM_LOCK2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_AM_LOCK3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RSFEC_AM_LOCK3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_CORRECTED_CW_INC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RSFEC_CORRECTED_CW_INC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_CW_INC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RSFEC_CW_INC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT0_INC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_RSFEC_ERR_COUNT0_INC(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT0_INC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT0_INC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT1_INC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_RSFEC_ERR_COUNT1_INC(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT1_INC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT1_INC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT2_INC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_RSFEC_ERR_COUNT2_INC(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT2_INC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT2_INC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT3_INC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_RSFEC_ERR_COUNT3_INC(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT3_INC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_ERR_COUNT3_INC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_HI_SER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RSFEC_HI_SER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_LANE_ALIGNMENT_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RSFEC_LANE_ALIGNMENT_STATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_FILL_3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_LANE_MAPPING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "STAT_RX_RSFEC_LANE_MAPPING(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_MAPPING(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_MAPPING(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_MAPPING(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_MAPPING(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_MAPPING(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_MAPPING(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_LANE_MAPPING(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_RSVD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "STAT_RX_RSFEC_RSVD(31)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(30)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(29)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(28)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(27)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(26)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(25)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(24)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(23)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(22)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(21)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(20)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_RSFEC_RSVD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_RSFEC_UNCORRECTED_CW_INC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_RSFEC_UNCORRECTED_CW_INC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_STATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_STOMPED_FCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_STOMPED_FCS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_STOMPED_FCS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_STOMPED_FCS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_SYNCED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_SYNCED(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_SYNCED_ERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_SYNCED_ERR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_SYNCED_ERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TEST_PATTERN_MISMATCH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_TEST_PATTERN_MISMATCH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TEST_PATTERN_MISMATCH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TEST_PATTERN_MISMATCH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TOOLONG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_TOOLONG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TOTAL_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "STAT_RX_TOTAL_BYTES(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_BYTES(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_GOOD_BYTES(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TOTAL_GOOD_PACKETS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_TOTAL_GOOD_PACKETS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TOTAL_PACKETS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_TOTAL_PACKETS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_PACKETS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_TOTAL_PACKETS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_TRUNCATED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_TRUNCATED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_UNDERSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "STAT_RX_UNDERSIZE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_UNDERSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_UNDERSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_UNICAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_UNICAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_USER_PAUSE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_USER_PAUSE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VLAN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_RX_VLAN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_DEMUXED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "STAT_RX_VL_DEMUXED(19)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(18)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(17)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(16)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(15)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(14)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_DEMUXED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_10(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_10(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_10(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_10(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_10(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_11", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_11(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_11(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_11(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_11(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_11(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_12", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_12(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_12(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_12(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_12(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_12(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_13", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_13(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_13(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_13(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_13(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_13(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_14", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_14(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_14(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_14(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_14(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_14(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_15", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_15(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_15(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_15(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_15(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_15(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_16", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_16(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_16(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_16(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_16(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_16(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_17", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_17(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_17(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_17(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_17(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_17(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_18", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_18(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_18(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_18(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_18(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_18(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_19", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_19(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_19(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_19(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_19(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_19(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_8(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_RX_VL_NUMBER_9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "STAT_RX_VL_NUMBER_9(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_9(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_9(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_9(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_RX_VL_NUMBER_9(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_BAD_FCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_BAD_FCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_BROADCAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_BROADCAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_FRAME_ERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_FRAME_ERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_LOCAL_FAULT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_LOCAL_FAULT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_MULTICAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_MULTICAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_1024_1518_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_1024_1518_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_128_255_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_128_255_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_1519_1522_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_1519_1522_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_1523_1548_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_1523_1548_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_1549_2047_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_1549_2047_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_2048_4095_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_2048_4095_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_256_511_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_256_511_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_4096_8191_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_4096_8191_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_512_1023_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_512_1023_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_64_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_64_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_65_127_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_65_127_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_8192_9215_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_8192_9215_BYTES", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_LARGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_LARGE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PACKET_SMALL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PACKET_SMALL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PAUSE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PAUSE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PAUSE_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "STAT_TX_PAUSE_VALID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_PAUSE_VALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PTP_FIFO_READ_ERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PTP_FIFO_READ_ERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_PTP_FIFO_WRITE_ERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_PTP_FIFO_WRITE_ERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_TOTAL_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "STAT_TX_TOTAL_BYTES(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_BYTES(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(13)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(12)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(11)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(10)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(9)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(8)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STAT_TX_TOTAL_GOOD_BYTES(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_TOTAL_GOOD_PACKETS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_TOTAL_GOOD_PACKETS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_TOTAL_PACKETS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_TOTAL_PACKETS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_UNICAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_UNICAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_USER_PAUSE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_USER_PAUSE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STAT_TX_VLAN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STAT_TX_VLAN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_OVFOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_OVFOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_PCSLANE_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TX_PTP_PCSLANE_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_PCSLANE_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_PCSLANE_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_PCSLANE_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_PCSLANE_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_TSTAMP_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 79, + "pins": [ + { + "name": "TX_PTP_TSTAMP_OUT(79)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(78)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(77)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(76)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(75)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(74)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(73)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(72)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(71)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(70)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(69)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(68)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(67)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(66)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(65)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(64)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_PTP_TSTAMP_TAG_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_PTP_TSTAMP_TAG_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_PTP_TSTAMP_VALID_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_PTP_TSTAMP_VALID_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_RDYOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_RDYOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_ALT_DATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_SERDES_ALT_DATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_ALT_DATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_SERDES_ALT_DATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_ALT_DATA2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_SERDES_ALT_DATA2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_ALT_DATA3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TX_SERDES_ALT_DATA3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_ALT_DATA3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA0(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA1(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA2(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TX_SERDES_DATA3(63)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(62)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(61)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(60)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(59)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(58)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(57)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(56)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(55)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(54)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(53)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(52)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(51)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(50)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(49)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(48)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(47)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(46)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(45)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(44)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(43)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(42)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(41)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(40)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA4(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA5(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA6(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA7(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA8(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_SERDES_DATA9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "TX_SERDES_DATA9(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_SERDES_DATA9(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_UNFOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX_UNFOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_GTE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ODIV2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ODIV2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "INBUF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "OSC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "OSC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OSC_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VREF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VREF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "EFUSE_USR", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "EFUSEUSR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "EFUSEUSR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EFUSEUSR(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "KEEPER", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "O", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTYE3_COMMON", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGPDB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGPDB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "BGRCALOVRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRPADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0PD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0PD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "QPLL0REFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0REFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0REFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1PD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1PD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "QPLL1REFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1REFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1REFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLRSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "QPLLRSVD2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "QPLLRSVD3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLRSVD4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RCALENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCALENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 24, + "pins": [ + { + "name": "SDM0DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDM0RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0WIDTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SDM0WIDTH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0WIDTH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 24, + "pins": [ + { + "name": "SDM1DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDM1RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1WIDTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SDM1WIDTH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1WIDTH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVDOUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVDOUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0FBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0FBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0OUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0OUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0OUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0OUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0REFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1FBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1FBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1OUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1OUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1OUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1OUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1REFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLDMONITOR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLDMONITOR0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLDMONITOR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLDMONITOR1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLK0_SEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXRECCLK0_SEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXRECCLK0_SEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLK1_SEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXRECCLK1_SEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXRECCLK1_SEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM0FINALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SDM0FINALOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0FINALOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0FINALOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0FINALOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM0TESTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "SDM0TESTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM1FINALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SDM1FINALOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1FINALOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1FINALOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1FINALOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM1TESTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "SDM1TESTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFCE_ROW", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUF_DCIEN", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFCTRL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PLLE3_ADV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKOUTPHYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUTPHYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUTPHY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUTPHY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "AUTOBUF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAMS64E", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "ADR0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADR5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADR5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WADR7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WADR7", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM512X1S", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RIU_OR", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "RIU_RD_DATA_LOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RIU_RD_DATA_LOW(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_LOW(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RIU_RD_DATA_UPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RIU_RD_DATA_UPP(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_RD_DATA_UPP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RIU_RD_VALID_LOW", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RIU_RD_VALID_LOW", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RIU_RD_VALID_UPP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RIU_RD_VALID_UPP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RIU_RD_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RIU_RD_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RIU_RD_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RIU_RD_VALID", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "AND2B1L", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SRI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SRI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "MMCME4_BASE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "MMCME4_ADV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CDDCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDDCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CDDCREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDDCREQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFBSTOPPED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBSTOPPED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKIN2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKINSEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKINSEL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKINSTOPPED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKINSTOPPED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSINCDEC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSINCDEC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFIO", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "LUT4", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "ODELAYE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CINVCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CINVCTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LDPIPEEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LDPIPEEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ODATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ODATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFT_DCIEN", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTHE4_COMMON", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGPDB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGPDB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "BGRCALOVRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLL0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PCIERATEQPLL0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIERATEQPLL0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIERATEQPLL0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PCIERATEQPLL1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIERATEQPLL1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIERATEQPLL1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0FBDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLL0FBDIV(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0PD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0PD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "QPLL0REFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0REFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0REFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1FBDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLL1FBDIV(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1PD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1PD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "QPLL1REFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1REFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1REFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLRSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "QPLLRSVD2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "QPLLRSVD3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLRSVD4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RCALENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCALENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 24, + "pins": [ + { + "name": "SDM0DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDM0RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0TOGGLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDM0TOGGLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0WIDTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SDM0WIDTH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0WIDTH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 24, + "pins": [ + { + "name": "SDM1DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDM1RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1TOGGLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDM1TOGGLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1WIDTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SDM1WIDTH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1WIDTH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TCONGPI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "TCONGPI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONGPI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONGPI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONGPI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONGPI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONGPI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONGPI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONGPI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONGPI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONGPI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TCONPOWERUP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TCONPOWERUP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TCONRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TCONRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TCONRSVDIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TCONRSVDIN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TCONRSVDIN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVDOUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVDOUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0FBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0FBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0OUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0OUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0OUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0OUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0REFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1FBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1FBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1OUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1OUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1OUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1OUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1REFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLDMONITOR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLDMONITOR0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLDMONITOR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLDMONITOR1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLK0SEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXRECCLK0SEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXRECCLK0SEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLK1SEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXRECCLK1SEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXRECCLK1SEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM0FINALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SDM0FINALOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0FINALOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0FINALOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0FINALOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM0TESTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "SDM0TESTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM1FINALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SDM1FINALOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1FINALOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1FINALOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1FINALOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM1TESTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "SDM1TESTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TCONGPO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "TCONGPO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TCONGPO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TCONGPO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TCONGPO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TCONGPO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TCONGPO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TCONGPO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TCONGPO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TCONGPO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TCONGPO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TCONRSVDOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TCONRSVDOUT0", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "MUXCY", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "CI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(((! S) & DI) | (S & CI))" + } + ] + } + ] + }, + { + "name": "GND", + "types": [ + "combinational", + "ground" + ], + "pin_groups": [ + { + "name": "G", + "direction": "output", + "type": "ground", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "G", + "direction": "output", + "type": "ground", + "function": "0b0" + } + ] + } + ] + }, + { + "name": "URAM288", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "ADDR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 22, + "pins": [ + { + "name": "ADDR_A(22)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(21)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(20)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(19)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(18)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 22, + "pins": [ + { + "name": "ADDR_B(22)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(21)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(20)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(19)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(18)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BWE_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "BWE_A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BWE_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "BWE_B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_ADDR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 22, + "pins": [ + { + "name": "CAS_IN_ADDR_A(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_ADDR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 22, + "pins": [ + { + "name": "CAS_IN_ADDR_B(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_ADDR_B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_BWE_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CAS_IN_BWE_A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_BWE_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CAS_IN_BWE_B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_BWE_B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_DBITERR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_IN_DBITERR_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_DBITERR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_IN_DBITERR_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_DIN_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "CAS_IN_DIN_A(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_DIN_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "CAS_IN_DIN_B(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DIN_B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_DOUT_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "CAS_IN_DOUT_A(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_DOUT_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "CAS_IN_DOUT_B(71)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(70)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(69)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(68)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(67)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(66)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(65)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(64)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CAS_IN_DOUT_B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_EN_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_IN_EN_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_EN_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_IN_EN_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_RDACCESS_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_IN_RDACCESS_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_RDACCESS_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_IN_RDACCESS_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_RDB_WR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_IN_RDB_WR_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_RDB_WR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_IN_RDB_WR_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_SBITERR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_IN_SBITERR_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_IN_SBITERR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_IN_SBITERR_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIN_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "DIN_A(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIN_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "DIN_B(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECT_DBITERR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECT_DBITERR_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECT_DBITERR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECT_DBITERR_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECT_SBITERR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECT_SBITERR_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECT_SBITERR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECT_SBITERR_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OREG_CE_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OREG_CE_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OREG_CE_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OREG_CE_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OREG_ECC_CE_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OREG_ECC_CE_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OREG_ECC_CE_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OREG_ECC_CE_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDB_WR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDB_WR_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDB_WR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDB_WR_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SLEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SLEEP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_ADDR_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 22, + "pins": [ + { + "name": "CAS_OUT_ADDR_A(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_A(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_ADDR_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 22, + "pins": [ + { + "name": "CAS_OUT_ADDR_B(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_ADDR_B(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_BWE_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CAS_OUT_BWE_A(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_A(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_A(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_A(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_A(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_A(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_A(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_A(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_A(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_BWE_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CAS_OUT_BWE_B(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_B(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_B(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_B(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_B(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_B(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_B(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_B(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_BWE_B(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_DBITERR_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_OUT_DBITERR_A", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_DBITERR_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_OUT_DBITERR_B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_DIN_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "CAS_OUT_DIN_A(71)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(70)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(69)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(68)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(67)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(66)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(65)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(64)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(63)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(62)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(61)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(60)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(59)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(58)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(57)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(56)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(55)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(54)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(53)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(52)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(51)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(50)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(49)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(48)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(47)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(46)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(45)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(44)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(43)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(42)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(41)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(40)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(39)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(38)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(37)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(36)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(35)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(34)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(33)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(32)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_A(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_DIN_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "CAS_OUT_DIN_B(71)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(70)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(69)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(68)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(67)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(66)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(65)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(64)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(63)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(62)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(61)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(60)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(59)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(58)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(57)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(56)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(55)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(54)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(53)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(52)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(51)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(50)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(49)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(48)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(47)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(46)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(45)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(44)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(43)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(42)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(41)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(40)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(39)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(38)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(37)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(36)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(35)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(34)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(33)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(32)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DIN_B(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_DOUT_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "CAS_OUT_DOUT_A(71)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(70)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(69)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(68)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(67)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(66)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(65)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(64)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(63)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(62)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(61)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(60)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(59)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(58)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(57)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(56)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(55)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(54)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(53)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(52)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(51)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(50)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(49)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(48)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(47)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(46)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(45)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(44)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(43)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(42)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(41)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(40)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(39)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(38)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(37)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(36)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(35)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(34)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(33)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(32)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_A(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_DOUT_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "CAS_OUT_DOUT_B(71)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(70)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(69)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(68)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(67)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(66)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(65)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(64)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(63)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(62)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(61)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(60)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(59)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(58)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(57)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(56)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(55)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(54)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(53)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(52)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(51)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(50)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(49)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(48)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(47)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(46)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(45)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(44)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(43)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(42)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(41)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(40)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(39)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(38)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(37)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(36)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(35)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(34)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(33)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(32)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CAS_OUT_DOUT_B(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_EN_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_OUT_EN_A", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_EN_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_OUT_EN_B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_RDACCESS_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_OUT_RDACCESS_A", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_RDACCESS_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_OUT_RDACCESS_B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_RDB_WR_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_OUT_RDB_WR_A", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_RDB_WR_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_OUT_RDB_WR_B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_SBITERR_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_OUT_SBITERR_A", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CAS_OUT_SBITERR_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAS_OUT_SBITERR_B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBITERR_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBITERR_A", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBITERR_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBITERR_B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUT_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "DOUT_A(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUT_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "DOUT_B(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDACCESS_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDACCESS_A", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RDACCESS_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDACCESS_B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBITERR_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBITERR_A", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBITERR_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBITERR_B", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFTDS", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DNA_PORT", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "READ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "READ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PLLE4_BASE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKOUTPHYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUTPHYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUTPHY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUTPHY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUF_IBUFDISABLE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFDS_GTE3_ADV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "I(3)", + "direction": "input", + "type": "none" + }, + { + "name": "I(2)", + "direction": "input", + "type": "none" + }, + { + "name": "I(1)", + "direction": "input", + "type": "none" + }, + { + "name": "I(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRECCLK_SEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXRECCLK_SEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRECCLK_SEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "HPIO_VREF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "FABRIC_VREF_TUNE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "FABRIC_VREF_TUNE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "FABRIC_VREF_TUNE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "FABRIC_VREF_TUNE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "FABRIC_VREF_TUNE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "FABRIC_VREF_TUNE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "FABRIC_VREF_TUNE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "FABRIC_VREF_TUNE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VREF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VREF", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM64X1S", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_IBUFDISABLE_INT", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFCE_LEAF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "URAM288_BASE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "ADDR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 22, + "pins": [ + { + "name": "ADDR_A(22)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(21)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(20)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(19)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(18)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 22, + "pins": [ + { + "name": "ADDR_B(22)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(21)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(20)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(19)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(18)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDR_B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BWE_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "BWE_A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BWE_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "BWE_B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BWE_B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIN_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "DIN_A(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIN_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "DIN_B(71)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(70)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(69)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(68)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(67)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(66)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(65)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(64)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(63)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(62)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(61)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(60)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(59)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(58)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(57)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(56)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(55)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(54)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(53)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(52)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(51)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(50)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(49)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(48)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(47)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(46)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(45)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(44)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(43)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(42)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(41)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(40)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(39)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(38)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(37)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(36)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(35)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(34)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(33)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(32)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(31)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(30)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(29)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(28)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(27)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(26)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(25)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(24)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(23)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(22)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(21)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(20)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(19)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(18)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(17)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(16)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIN_B(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECT_DBITERR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECT_DBITERR_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECT_DBITERR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECT_DBITERR_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECT_SBITERR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECT_SBITERR_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INJECT_SBITERR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INJECT_SBITERR_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OREG_CE_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OREG_CE_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OREG_CE_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OREG_CE_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OREG_ECC_CE_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OREG_ECC_CE_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OREG_ECC_CE_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OREG_ECC_CE_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDB_WR_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDB_WR_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDB_WR_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDB_WR_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST_A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST_A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SLEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SLEEP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DBITERR_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBITERR_A", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBITERR_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DBITERR_B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUT_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "DOUT_A(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_A(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOUT_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "DOUT_B(71)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(70)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(69)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(68)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(67)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(66)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(65)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(64)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(63)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(62)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(61)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(60)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(59)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(58)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(57)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(56)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(55)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(54)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(53)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(52)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(51)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(50)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(49)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(48)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(47)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(46)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(45)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(44)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(43)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(42)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(41)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(40)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(39)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(38)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(37)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(36)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(35)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(34)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(33)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(32)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(31)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(30)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(29)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(28)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(27)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(26)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(25)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(24)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(23)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(22)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(21)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(20)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(19)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(18)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(17)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOUT_B(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBITERR_A", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBITERR_A", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBITERR_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBITERR_B", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IDDR", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "Q1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_DIFF_OUT_INTERMDISABLE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DSP_ALU", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "ALUMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "ALUMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ALUMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ALUMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ALUMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AMULT26", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AMULT26", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A_ALU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 29, + "pins": [ + { + "name": "A_ALU(29)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(28)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(27)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(26)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(25)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(24)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(23)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(22)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(21)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(20)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(19)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(18)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(17)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(16)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(15)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(14)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(13)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(12)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(11)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(10)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(9)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(8)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A_ALU(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BMULT17", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BMULT17", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B_ALU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "B_ALU(17)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(16)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(15)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(14)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(13)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(12)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(11)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(10)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(9)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(8)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(7)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(6)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(5)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(4)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(3)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(2)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(1)", + "direction": "input", + "type": "none" + }, + { + "name": "B_ALU(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYCASCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYCASCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CARRYINSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CARRYINSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CARRYINSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CARRYINSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CCOUT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CCOUT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEALUMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEALUMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CECARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CECARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CECTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CECTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CEM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "C_DATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "C_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MULTSIGNIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MULTSIGNIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OPMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "OPMODE(8)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OPMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "PCIN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "P_FDBK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "P_FDBK(47)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(46)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(45)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(44)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(43)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(42)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(41)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(40)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(39)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(38)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(37)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(36)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(35)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(34)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(33)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(32)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(31)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(30)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(29)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(28)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(27)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(26)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(25)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(24)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(23)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(22)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(21)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(20)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(19)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(18)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(17)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(16)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(15)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(14)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(13)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(12)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(11)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(10)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(9)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(8)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "P_FDBK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "P_FDBK_47", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "P_FDBK_47", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTALLCARRYIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTALLCARRYIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTALUMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTALUMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTCTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "U_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "U_DATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "U_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "V_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 44, + "pins": [ + { + "name": "V_DATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "V_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ALUMODE10", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ALUMODE10", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ALU_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 47, + "pins": [ + { + "name": "ALU_OUT(47)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(46)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(45)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(44)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(43)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(42)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(41)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(40)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ALU_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "COUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "COUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "COUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "COUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "COUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MULTSIGN_ALU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MULTSIGN_ALU", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "XOR_MX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "XOR_MX(7)", + "direction": "output", + "type": "none" + }, + { + "name": "XOR_MX(6)", + "direction": "output", + "type": "none" + }, + { + "name": "XOR_MX(5)", + "direction": "output", + "type": "none" + }, + { + "name": "XOR_MX(4)", + "direction": "output", + "type": "none" + }, + { + "name": "XOR_MX(3)", + "direction": "output", + "type": "none" + }, + { + "name": "XOR_MX(2)", + "direction": "output", + "type": "none" + }, + { + "name": "XOR_MX(1)", + "direction": "output", + "type": "none" + }, + { + "name": "XOR_MX(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUFE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCITERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "OSC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OSC_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VREF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VREF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_DPHY", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "HSRX_DISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "HSRX_DISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LPRX_DISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPRX_DISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "HSRX_O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "HSRX_O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LPRX_O_N", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPRX_O_N", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LPRX_O_P", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPRX_O_P", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PCIE_3_0", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CFGCONFIGSPACEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCONFIGSPACEENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRCORIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCORIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRUNCORIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRUNCORIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADDATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTREADDATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGHOTRESETIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGHOTRESETIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINPUTUPDATEREQUEST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINPUTUPDATEREQUEST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHPRESENT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHPRESENT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXINT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGLINKTRAININGENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLINKTRAININGENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMCUPDATEREQUEST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMCUPDATEREQUEST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTREAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTTYPE1CFGREGACCESS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTTYPE1CFGREGACCESS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRITE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTWRITE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGTRANSMIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPERFUNCTIONOUTPUTREQUEST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPERFUNCTIONOUTPUTREQUEST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPOWERSTATECHANGEACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPOWERSTATECHANGEACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREQPMTRANSITIONL23READY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGREQPMTRANSITIONL23READY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTREADDATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTPHSTTREADDATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMICOMPLETIONRAML", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMICOMPLETIONRAML", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMICOMPLETIONRAMU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMICOMPLETIONRAMU", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIREPLAYRAM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIREPLAYRAM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CORECLKMIREQUESTRAM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CORECLKMIREQUESTRAM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MGMTRESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MGMTRESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MGMTSTICKYRESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MGMTSTICKYRESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIECQNPREQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIECQNPREQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7DATAVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7DATAVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7ELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7ELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQLPADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7EQLPADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQLPLFFSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7EQLPLFFSSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7PHYSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7PHYSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7STARTBLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7STARTBLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7VALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7VALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX0EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX1EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX2EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX3EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX4EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX5EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX6EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX7EQDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7EQDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLDISABLESCRAMBLER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLDISABLESCRAMBLER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLEQRESETEIEOSCOUNT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLEQRESETEIEOSCOUNT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLGEN3PCSDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLGEN3PCSDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RECCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RECCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISCCTLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISCCTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISRQTLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXISRQTVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USERCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USERCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "DRPADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MICOMPLETIONRAMREADDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIREPLAYRAMREADDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIREQUESTRAMREADDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDEVID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGDEVID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDEVID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGSUBSYSVENDID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGSUBSYSVENDID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGSUBSYSVENDID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVENDID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGVENDID(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVENDID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7EQLPNEWTXCOEFFORPRESET(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX0EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX0EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX0EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX1EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX1EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX1EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX2EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX2EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX2EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX3EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX3EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX3EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX4EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX4EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX4EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX5EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX5EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX5EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX6EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX6EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX6EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPETX7EQCOEFF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "PIPETX7EQCOEFF(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPETX7EQCOEFF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 18, + "pins": [ + { + "name": "CFGMGMTADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFLRDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGFLRDONE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFLRDONE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTPENDING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTPENDING(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTPENDING(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX0CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX0SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX1CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX1SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX2CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX2SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX3CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX3SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX4CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX4SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX5CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX5SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX6CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX6SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7CHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX7CHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7CHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7SYNCHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX7SYNCHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7SYNCHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "MAXISCQTREADY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISCQTREADY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 21, + "pins": [ + { + "name": "MAXISRCTREADY(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXISRCTREADY(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "SAXISCCTDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "SAXISRQTDATA(255)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(254)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(253)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(252)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(251)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(250)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(249)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(248)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(247)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(246)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(245)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(244)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(243)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(242)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(241)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(240)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(239)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(238)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(237)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(236)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(235)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(234)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(233)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(232)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(231)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(230)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(229)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(228)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(227)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(226)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(225)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(224)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(223)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(222)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(221)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(220)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(219)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(218)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(217)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(216)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(215)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(214)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(213)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(212)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(211)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(210)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(209)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(208)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(207)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(206)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(205)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(204)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(203)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(202)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(201)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(200)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(199)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(198)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(197)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(196)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(195)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(194)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(193)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(192)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(191)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(190)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(189)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(188)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(187)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(186)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(185)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(184)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(183)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(182)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(181)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(180)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(179)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(178)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(177)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(176)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(175)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(174)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(173)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(172)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(171)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(170)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(169)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(168)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(167)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(166)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(165)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(164)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(163)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(162)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(161)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(160)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(159)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(158)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(157)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(156)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(155)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(154)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(153)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(152)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(151)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(150)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(149)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(148)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(147)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(146)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(145)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(144)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(143)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(142)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(141)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(140)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(139)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(138)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(137)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(136)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(135)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(134)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(133)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(132)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(131)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(130)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(129)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(128)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGDSFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGFCSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGFCSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFCSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGFCSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIATTR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGINTERRUPTMSIATTR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIATTR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIATTR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGMSGTRANSMITTYPE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPERFUNCSTATUSCONTROL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGPERFUNCSTATUSCONTROL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSCONTROL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSCONTROL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGPERFUNCTIONNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGPERFUNCTIONNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPERFUNCTIONNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGPERFUNCTIONNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX0STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX1STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX2STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX3STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX4STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX5STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX6STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7STATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX7STATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7STATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7STATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGEXTREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGEXTREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIINT(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIINT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTWRITEDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMGMTWRITEDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTWRITEDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMSGTRANSMITDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMSGTRANSMITDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTREADDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGTPHSTTREADDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGTPHSTTREADDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX0DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX0DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX0DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX1DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX1DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX1DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX2DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX2DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX2DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX3DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX3DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX3DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX4DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX4DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX4DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX5DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX5DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX5DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX6DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX6DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX6DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPERX7DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPERX7DATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPERX7DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 32, + "pins": [ + { + "name": "SAXISCCTUSER(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTINT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTINT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTINT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSISELECT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGINTERRUPTMSISELECT(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSISELECT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSISELECT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSISELECT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTBYTEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGMGMTBYTEENABLE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGMGMTBYTEENABLE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSDEVICENUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGDSDEVICENUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSDEVICENUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 59, + "pins": [ + { + "name": "SAXISRQTUSER(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGVFFLRDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGVFFLRDONE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGVFFLRDONE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPEEQFS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPEEQFS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQFS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PIPEEQLF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPEEQLF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PIPEEQLF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CFGDSN(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIPENDINGSTATUS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXADDRESS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "CFGINTERRUPTMSIXADDRESS(63)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(62)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(61)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(60)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(59)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(58)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(57)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(56)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(55)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(54)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(53)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(52)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(51)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(50)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(49)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(48)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(47)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(46)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(45)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(44)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(43)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(42)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(41)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(40)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(39)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(38)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(37)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(36)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(35)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(34)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(33)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(32)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(31)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(30)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(29)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(28)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(27)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(26)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(25)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(24)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(23)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(22)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(21)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(20)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(19)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(18)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(17)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(16)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(15)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(14)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(13)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(12)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(11)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(10)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(9)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXADDRESS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSBUSNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGDSBUSNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSBUSNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGDSPORTNUMBER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGDSPORTNUMBER(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGDSPORTNUMBER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGREVID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGREVID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGREVID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLGEN3PCSRXSYNCDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PLGEN3PCSRXSYNCDONE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSYNCDONE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSYNCDONE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSYNCDONE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSYNCDONE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSYNCDONE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSYNCDONE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSYNCDONE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTKEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXISCCTKEEP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISCCTKEEP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTKEEP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXISRQTKEEP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXISRQTKEEP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CFGINTERRUPTMSITPHSTTAG(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSITPHSTTAG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGERRCOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRCOROUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRFATALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRFATALOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGERRNONFATALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGERRNONFATALOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREADRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTREADRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITERECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGEXTWRITERECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGHOTRESETOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGHOTRESETOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINPUTUPDATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINPUTUPDATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTAOUTPUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTAOUTPUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTBOUTPUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTBOUTPUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTCOUTPUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTCOUTPUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTDOUTPUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTDOUTPUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIFAIL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIFAIL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIMASKUPDATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIMASKUPDATE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSISENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSISENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXFAIL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXFAIL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXSENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTMSIXSENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTSENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGINTERRUPTSENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLOCALERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLOCALERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLTRENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGLTRENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMCUPDATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMCUPDATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREADWRITEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMGMTREADWRITEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGRECEIVED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGTRANSMITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMSGTRANSMITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPERFUNCTIONUPDATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPERFUNCTIONUPDATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPHYLINKDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPHYLINKDOWN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPLSTATUSCHANGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPLSTATUSCHANGE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPOWERSTATECHANGEINTERRUPT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGPOWERSTATECHANGEINTERRUPT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTREADENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTPHSTTREADENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTWRITEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGTPHSTTWRITEENABLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISCQTLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISCQTVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISRCTLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXISRCTVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUMVLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQSEQNUMVLD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAGVLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERQTAGVLD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX0POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX1POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX2POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX3POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX4POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX5POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX6POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7POLARITY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPERX7POLARITY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX0STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX1STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX2STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX3STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX4STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX5STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX6STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7COMPLIANCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7COMPLIANCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7DATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7DATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7ELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7ELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7STARTBLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETX7STARTBLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXDEEMPH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRCVRDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXRCVRDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXRESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXSWING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PIPETXSWING", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLEQINPROGRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLEQINPROGRESS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCCPLD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCCPLD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCNPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCNPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGFCPD(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "CFGVFSTATUS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIREPLAYRAMWRITEDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 143, + "pins": [ + { + "name": "MIREQUESTRAMWRITEDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPERFUNCSTATUSDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "CFGPERFUNCSTATUSDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPERFUNCSTATUSDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DBGDATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DBGDATAOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DBGDATAOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVFPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "CFGVFPOWERSTATE(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVFTPHSTMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 17, + "pins": [ + { + "name": "CFGVFTPHSTMODE(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHSTMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGDPASUBSTATECHANGE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGDPASUBSTATECHANGE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGDPASUBSTATECHANGE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFLRINPROCESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGFLRINPROCESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFLRINPROCESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSIENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSIXENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGINTERRUPTMSIXMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLINKPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGLINKPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLINKPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGOBFFENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGOBFFENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGOBFFENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGPHYLINKSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGPHYLINKSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGPHYLINKSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGRCBSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGRCBSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGRCBSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHREQUESTERENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "CFGTPHREQUESTERENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHREQUESTERENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMREADENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIREPLAYRAMREADENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMREADENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMWRITEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MIREPLAYRAMWRITEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMWRITEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAGAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIERQTAGAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAGAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIETFCNPDAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIETFCNPDAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPDAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIETFCNPHAV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIETFCNPHAV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIETFCNPHAV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX0EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX1EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX2EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX3EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX4EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX5EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX6EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPERX7EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX0SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX1SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX2SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX3SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX4SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX5SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX6SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7CHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7CHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7CHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7EQCONTROL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7EQCONTROL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQCONTROL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7POWERDOWN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7POWERDOWN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7POWERDOWN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7SYNCHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETX7SYNCHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7SYNCHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXRATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PIPETXRATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXRATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLEQPHASE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PLEQPHASE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLEQPHASE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "MAXISCQTDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 255, + "pins": [ + { + "name": "MAXISRCTDATA(255)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(254)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(253)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(252)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(251)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(250)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(249)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(248)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(247)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(246)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(245)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(244)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(243)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(242)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(241)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(240)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(239)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(238)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(237)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(236)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(235)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(234)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(233)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(232)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(231)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(230)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(229)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(228)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(227)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(226)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(225)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(224)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(223)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(222)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(221)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(220)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(219)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(218)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(217)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(216)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(215)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(214)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(213)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(212)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(211)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(210)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(209)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(208)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(207)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(206)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(205)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(204)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(203)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(202)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(201)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(200)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(199)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(198)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(197)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(196)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(195)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(194)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(193)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(192)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(191)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(190)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(189)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(188)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(187)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(186)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(185)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(184)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(183)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(182)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(181)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(180)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(179)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(178)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(177)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(176)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(175)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(174)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(173)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(172)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(171)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(170)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(169)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(168)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(167)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(166)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(165)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(164)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(163)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(162)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(161)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(160)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(159)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(158)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(157)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(156)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(155)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(154)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(153)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(152)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(151)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(150)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(149)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(148)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(147)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(146)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(145)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(144)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(143)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(142)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(141)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(140)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(139)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(138)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(137)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(136)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(135)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(134)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(133)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(132)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(131)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(130)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(129)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(128)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGCURRENTSPEED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGCURRENTSPEED(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGCURRENTSPEED(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGCURRENTSPEED(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMAXPAYLOAD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGMAXPAYLOAD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXPAYLOAD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXPAYLOAD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMAXREADREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGMAXREADREQ(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXREADREQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMAXREADREQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHFUNCTIONNUM", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CFGTPHFUNCTIONNUM(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHFUNCTIONNUM(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHFUNCTIONNUM(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX0EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX1EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX2EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX3EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX4EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX5EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX6EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPERX7EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETXMARGIN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PIPETXMARGIN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXMARGIN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETXMARGIN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGEXTWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGINTERRUPTMSIDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMGMTREADDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGMGMTREADDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMGMTREADDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTWRITEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "CFGTPHSTTWRITEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX0DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX1DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX2DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX3DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX4DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX5DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX6DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PIPETX7DATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTWRITEBYTEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGEXTWRITEBYTEENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTWRITEBYTEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGNEGOTIATEDWIDTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGNEGOTIATEDWIDTH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGNEGOTIATEDWIDTH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGNEGOTIATEDWIDTH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGNEGOTIATEDWIDTH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTWRITEBYTEVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CFGTPHSTTWRITEBYTEVALID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEBYTEVALID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEBYTEVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTWRITEBYTEVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADENABLEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MICOMPLETIONRAMREADENABLEL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADENABLEU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MICOMPLETIONRAMREADENABLEU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADENABLEU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEENABLEL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEENABLEU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEENABLEU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMREADENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MIREQUESTRAMREADENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMWRITEENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MIREQUESTRAMWRITEENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQSEQNUM", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PCIERQSEQNUM(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQSEQNUM(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX0EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX1EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX2EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX3EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX4EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX5EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX6EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQLPTXPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPERX7EQLPTXPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPTXPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPTXPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPTXPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX0EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX1EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX2EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX3EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX4EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX5EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX6EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7EQPRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PIPETX7EQPRESET(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQPRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQPRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQPRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXISCCTREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXISCCTREADY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISCCTREADY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXISRQTREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXISRQTREADY(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXISRQTREADY(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDTYPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGMSGRECEIVEDTYPE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDTYPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTTADDRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CFGTPHSTTADDRESS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTADDRESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTADDRESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTADDRESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTTADDRESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFUNCTIONPOWERSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGFUNCTIONPOWERSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONPOWERSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIMMENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGINTERRUPTMSIMMENABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIMMENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIVFENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGINTERRUPTMSIVFENABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIVFENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGINTERRUPTMSIXVFENABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGINTERRUPTMSIXVFMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGINTERRUPTMSIXVFMASK(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGINTERRUPTMSIXVFMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGLTSSMSTATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGLTSSMSTATE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGLTSSMSTATE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGTPHSTMODE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGTPHSTMODE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGTPHSTMODE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVFFLRINPROCESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGVFFLRINPROCESS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFFLRINPROCESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGVFTPHREQUESTERENABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CFGVFTPHREQUESTERENABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGVFTPHREQUESTERENABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIECQNPREQCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PCIECQNPREQCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIECQNPREQCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERQTAG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PCIERQTAG(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERQTAG(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX0EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX0EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX0EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX1EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX1EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX1EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX2EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX2EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX2EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX3EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX3EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX3EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX4EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX4EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX4EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX5EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX5EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX5EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX6EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX6EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX6EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPERX7EQLPLFFS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPERX7EQLPLFFS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPLFFS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPLFFS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPLFFS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPLFFS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPERX7EQLPLFFS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX0EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX0EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX0EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX1EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX1EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX1EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX2EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX2EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX2EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX3EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX3EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX3EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX4EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX4EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX4EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX5EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX5EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX5EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX6EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX6EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX6EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PIPETX7EQDEEMPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "PIPETX7EQDEEMPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQDEEMPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQDEEMPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQDEEMPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQDEEMPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PIPETX7EQDEEMPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEDATAL(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 71, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEDATAU(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEDATAU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 74, + "pins": [ + { + "name": "MAXISRCTUSER(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTFUNCTIONNUMBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGEXTFUNCTIONNUMBER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTFUNCTIONNUMBER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCCPLH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCCPLH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCCPLH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCNPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCNPH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCNPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFCPH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFCPH(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFCPH(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGFUNCTIONSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGFUNCTIONSTATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGFUNCTIONSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMSGRECEIVEDDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "CFGMSGRECEIVEDDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGMSGRECEIVEDDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTKEEP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXISCQTKEEP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTKEEP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISRCTKEEP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "MAXISRCTKEEP(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISRCTKEEP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PLGEN3PCSRXSLIDE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PLGEN3PCSRXSLIDE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSLIDE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSLIDE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSLIDE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSLIDE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSLIDE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSLIDE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PLGEN3PCSRXSLIDE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXISCQTUSER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 84, + "pins": [ + { + "name": "MAXISCQTUSER(84)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(83)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(82)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(81)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(80)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(79)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(78)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(77)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(76)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(75)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(74)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(73)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(72)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(71)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(70)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(69)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(68)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(67)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(66)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(65)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(64)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(63)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(62)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(61)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(60)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(59)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(58)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(57)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(56)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(55)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(54)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(53)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(52)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(51)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(50)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(49)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(48)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(47)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(46)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(45)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(44)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(43)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(42)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(41)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(40)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(39)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(38)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(37)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(36)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(35)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(34)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(33)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(32)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXISCQTUSER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREPLAYRAMADDRESS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREPLAYRAMADDRESS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREPLAYRAMADDRESS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMREADADDRESSA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREQUESTRAMREADADDRESSA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMREADADDRESSB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREQUESTRAMREADADDRESSB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMREADADDRESSB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREQUESTRAMWRITEADDRESSA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "MIREQUESTRAMWRITEADDRESSB(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MIREQUESTRAMWRITEADDRESSB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGEXTREGISTERNUMBER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "CFGEXTREGISTERNUMBER(9)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CFGEXTREGISTERNUMBER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMREADADDRESSAL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMREADADDRESSAU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSAU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMREADADDRESSBL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMREADADDRESSBU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMREADADDRESSBU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSAU(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MICOMPLETIONRAMWRITEADDRESSBU(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTHE4_CHANNEL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CDRSTEPDIR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDRSTEPDIR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CDRSTEPSQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDRSTEPSQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CDRSTEPSX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CDRSTEPSX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLFREQLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLFREQLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CPLLREFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "DRPADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FREQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FREQOS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTHRXN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHRXN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTHRXP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHRXP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "GTRSVD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRXRESETSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRXRESETSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTTXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTTXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTTXRESETSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTTXRESETSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INCPCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INCPCTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOOPBACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "LOOPBACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIEEQRXEQADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEEQRXEQADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERSTIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERSTIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERSTTXSYNCSTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERSTTXSYNCSTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERRATEDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERRATEDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0FREQLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0FREQLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1FREQLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1FREQLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETOVRD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXAFECFOKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXAFECFOKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDLEVEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXCHBONDLEVEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCKCALRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCKCALRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCKCALSTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RXCKCALSTART(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCKCALSTART(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXDFEAGCCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFEAGCCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECFOKFCNUM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXDFECFOKFCNUM(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFECFOKFCNUM(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFECFOKFCNUM(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFECFOKFCNUM(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECFOKFEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFECFOKFEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECFOKFPULSE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFECFOKFPULSE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECFOKHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFECFOKHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECFOKOVREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFECFOKOVREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEKHHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEKHHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEKHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEKHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP10HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP10HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP10OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP10OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP11HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP11HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP11OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP11OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP12HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP12HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP12OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP12OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP13HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP13HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP13OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP13OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP14HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP14HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP14OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP14OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP15HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP15HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP15OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP15OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP6HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP6HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP6OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP6OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP7HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP7HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP7OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP7OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP8HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP8HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP8OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP8OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP9HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP9HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP9OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP9OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXELECIDLEMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXELECIDLEMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXEQTRAINING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXEQTRAINING", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLATCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLATCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMGCHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMGCHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMGCOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMGCOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMONITORSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXMONITORSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXMONITORSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPLLCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPLLCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPLLCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXPRBSSEL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPROGDIVRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPROGDIVRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXQPIEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPIEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIPOUTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPOUTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIPPMA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPPMA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXTERMINATION", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXTERMINATION", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TSTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "TSTIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TX8B10BBYPASS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TXCTRL0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TXCTRL1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXCTRL2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TXDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATAEXTENDRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXDATAEXTENDRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDCCFORCESTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDCCFORCESTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDCCRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDCCRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXDEEMPH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDEEMPH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXDIFFCTRL(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "TXHEADER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXLATCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXLATCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXLFPSTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXLFPSTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXLFPSU2LPEXIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXLFPSU2LPEXIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXLFPSU3WAKE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXLFPSU3WAKE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMAINCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXMAINCURSOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMARGIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXMARGIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMUXDCDEXHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXMUXDCDEXHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMUXDCDORWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXMUXDCDORWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXONESZEROS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXONESZEROS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSTEPSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPIPPMSTEPSIZE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPISOPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPISOPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPLLCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPLLCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPLLCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPOSTCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXPRBSSEL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPRECURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPROGDIVRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPROGDIVRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPIBIASEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPIBIASEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPIWEAKPUP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPIWEAKPUP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSEQUENCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXSEQUENCE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSWING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSWING", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BUFGTCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BUFGTCE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTCEMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTCEMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCEMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCEMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTDIV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "BUFGTDIV(8)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(7)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(6)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(5)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(4)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BUFGTRESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTRSTMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTRSTMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRSTMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRSTMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DMONITOROUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMONITOROUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONITOROUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTHTXN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHTXN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTHTXP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHTXP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTPOWERGOOD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTPOWERGOOD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEGEN3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERATEGEN3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERATEIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLLPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIERATEQPLLPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERATEQPLLPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLLRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIERATEQPLLRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERATEQPLLRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIESYNCTXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIESYNCTXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERGEN3RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERGEN3RDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERPHYSTATUSRST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERPHYSTATUSRST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERRATESTART", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERRATESTART", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PINRSRVDAS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PINRSRVDAS(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "POWERPRESENT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "POWERPRESENT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RESETEXCEPTION", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETEXCEPTION", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXBUFSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRPHDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRPHDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCKCALDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCKCALDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCLKCORCNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXCLKCORCNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCLKCORCNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RXCTRL0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RXCTRL1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCTRL2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCTRL3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RXDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAEXTENDRSVD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXDATAEXTENDRSVD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXDATAVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "RXHEADER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADERVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXHEADERVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADERVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXLFPSTRESETDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLFPSTRESETDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXLFPSU2LPEXITDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLFPSU2LPEXITDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXLFPSU3WAKEDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLFPSU3WAKEDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXMONITOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSLOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSLOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRGDIVRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRGDIVRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXQPISENN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPISENN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXQPISENP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPISENP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLKOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRECCLKOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIDERDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDERDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPOUTCLKRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPOUTCLKRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPPMARDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPPMARDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTARTOFSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSTARTOFSEQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTARTOFSEQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXDCCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDCCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPRGDIVRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRGDIVRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXQPISENN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISENN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXQPISENP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISENP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM256X1S", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "A(7)", + "direction": "input", + "type": "none" + }, + { + "name": "A(6)", + "direction": "input", + "type": "none" + }, + { + "name": "A(5)", + "direction": "input", + "type": "none" + }, + { + "name": "A(4)", + "direction": "input", + "type": "none" + }, + { + "name": "A(3)", + "direction": "input", + "type": "none" + }, + { + "name": "A(2)", + "direction": "input", + "type": "none" + }, + { + "name": "A(1)", + "direction": "input", + "type": "none" + }, + { + "name": "A(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_IBUFDISABLE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BSCANE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "TDO", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TDO", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CAPTURE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CAPTURE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RUNTEST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RUNTEST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SEL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TDI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TDI", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TMS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TMS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UPDATE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UPDATE", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM128X1S", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "A0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "A6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTXE2_CHANNEL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRESETSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRESETSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTTXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTTXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTXRXN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTXRXN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTXRXP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTXRXP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETOVRD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESETRSV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESETRSV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDDIEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDDIEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFECM1EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFECM1EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVSEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVSEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEXYDHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEXYDHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEXYDOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEXYDOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXQPIEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPIEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SETERRSTATUS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SETERRSTATUS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDIFFPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPISOPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPISOPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSORINV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOSTCURSORINV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSORINV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRECURSORINV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPIBIASEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPIBIASEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPISTRONGPDOWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISTRONGPDOWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPIWEAKPUP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPIWEAKPUP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSTARTSEQ", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSTARTSEQ", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSWING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSWING", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "GTRSVD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TSTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "TSTIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXELECIDLEMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXELECIDLEMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMONITORSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXMONITORSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXMONITORSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CPLLREFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOOPBACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "LOOPBACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDLEVEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXCHBONDLEVEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXBUFDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXBUFDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXBUFDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXBUFDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXHEADER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMARGIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXMARGIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "CLKRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CLKRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CLKRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CLKRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXDIFFCTRL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PCSRSVDIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVDIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PMARSVDIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PMARSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPOSTCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPRECURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "TXDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMAINCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXMAINCURSOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSEQUENCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXSEQUENCE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TX8B10BBYPASS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCHARDISPMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXCHARDISPMODE(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCHARDISPVAL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXCHARDISPVAL(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARDISPVAL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCHARISK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXCHARISK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCHARISK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTXTXN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTXTXN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTXTXP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTXTXP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDATAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADERVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXHEADERVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXQPISENN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPISENN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXQPISENP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPISENP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTARTOFSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSTARTOFSEQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXGEARBOXREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXGEARBOXREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXQPISENN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISENN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXQPISENP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISENP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCLKCORCNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXCLKCORCNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCLKCORCNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXBUFSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXHEADER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXPHMONITOR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHMONITOR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHSLIPMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXPHSLIPMONITOR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXPHSLIPMONITOR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "RXDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RXMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DMONITOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHARISCOMMA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCHARISCOMMA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISCOMMA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHARISK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCHARISK(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHARISK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDISPERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXDISPERR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDISPERR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXNOTINTABLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXNOTINTABLE(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXNOTINTABLE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TSTOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "TSTOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TSTOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TSTOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TSTOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TSTOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TSTOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TSTOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TSTOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TSTOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TSTOUT(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "FDSE", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((D & CE) | (S | (IQ & (! CE))))", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "set", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "set" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "BUFHCE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_DIFF_OUT", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PHASER_IN", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "COUNTERLOADEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COUNTERLOADEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERLOADVAL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "COUNTERLOADVAL(5)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(4)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "COUNTERLOADVAL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERREADEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "COUNTERREADEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIVIDERST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIVIDERST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EDGEADV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EDGEADV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FINEENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FINEINC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEINC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FREQREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FREQREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MEMREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MEMREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHASEREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHASEREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RANKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RANKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RANKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYSCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYSCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "COUNTERREADVAL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "COUNTERREADVAL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "COUNTERREADVAL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FINEOVERFLOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FINEOVERFLOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ICLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ICLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ICLKDIV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ICLKDIV", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ISERDESRST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ISERDESRST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLK", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFDS_GTE4_ADV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "I(3)", + "direction": "input", + "type": "none" + }, + { + "name": "I(2)", + "direction": "input", + "type": "none" + }, + { + "name": "I(1)", + "direction": "input", + "type": "none" + }, + { + "name": "I(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRECCLK_SEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXRECCLK_SEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRECCLK_SEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IDELAYE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CINVCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CINVCTRL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IDATAIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IDATAIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LDPIPEEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LDPIPEEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REGRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REGRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DATAOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DATAOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTHE2_COMMON", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGPDB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGPDB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLLOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLLOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLLOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLLOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLOUTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLOUTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RCALENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCALENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "QPLLRSVD1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLREFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "QPLLREFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLREFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLREFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "BGRCALOVRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "QPLLRSVD2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLFBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLFBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLOUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLOUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLREFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLLREFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PMARSVDOUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLDMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLDMONITOR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTHE3_COMMON", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGPDB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGPDB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "BGRCALOVRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0PD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0PD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "QPLL0REFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0REFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0REFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1PD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1PD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "QPLL1REFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1REFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1REFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLRSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "QPLLRSVD2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "QPLLRSVD3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLRSVD4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RCALENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCALENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVDOUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVDOUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0FBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0FBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0OUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0OUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0OUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0OUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0REFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1FBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1FBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1OUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1OUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1OUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1OUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1REFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLDMONITOR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLDMONITOR0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLDMONITOR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLDMONITOR1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLK0_SEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXRECCLK0_SEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXRECCLK0_SEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLK1_SEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXRECCLK1_SEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXRECCLK1_SEL(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PULLUP", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GTHE3_CHANNEL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "CPLLREFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CPLLREFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CPLLRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONFIFORESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMONITORCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHICALDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHICALDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHICALSTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHICALSTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHIDRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHIDRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHIDWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHIDWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHIXRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHIXRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVODDPHIXWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVODDPHIXWREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANTRIGGER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTHRXN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHRXN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTHRXP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHRXP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRESETSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRESETSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "GTRSVD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "GTRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTRXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTRXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTTXRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTTXRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOOPBACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "LOOPBACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "LOOPBACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LPBKRXTXSEREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPBKRXTXSEREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LPBKTXRXSEREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPBKTXRXSEREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIEEQRXEQADAPTDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEEQRXEQADAPTDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERSTIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERSTIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERSTTXSYNCSTART", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERSTTXSYNCSTART", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERRATEDONE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERRATEDONE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "PCSRSVDIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PCSRSVDIN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCSRSVDIN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVDIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "PMARSVDIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVDIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESETOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETOVRD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RSTCLKENTX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RSTCLKENTX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBUFRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRFREQRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDROVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCDRRESETRSV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRRESETRSV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDLEVEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXCHBONDLEVEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXCHBONDLEVEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDMASTER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHBONDSLAVE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADETEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXDFEAGCCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXDFEAGCCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEAGCOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFELPMRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP10HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP10HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP10OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP10OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP11HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP11HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP11OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP11OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP12HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP12HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP12OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP12OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP13HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP13HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP13OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP13OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP14HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP14HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP14OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP14OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP15HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP15HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP15OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP15OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP2OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP3OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP4OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP5OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP6HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP6HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP6OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP6OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP7HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP7HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP7OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP7OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP8HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP8HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP8OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP8OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP9HOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP9HOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFETAP9OVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFETAP9OVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEUTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVPOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEVSEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEVSEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDFEXYDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXELECIDLEMODE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXELECIDLEMODE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXGEARBOXSLIP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLATCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLATCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMGCHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMGCHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMGCOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMGCOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMHFOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMLFKLOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXLPMOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXLPMOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXMCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXMONITORSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXMONITORSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXMONITORSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOOBRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSCALRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTCFG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXOSINTCFG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOSINTCFG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSINTTESTOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTTESTOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCOMMAALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPLLCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXPLLCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPLLCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSCNTRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RXPRBSSEL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXPROGDIVRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPROGDIVRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXQPIEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPIEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIDE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIPOUTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPOUTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSLIPPMA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPPMA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SIGVALIDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TSTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "TSTIN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TSTIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TX8B10BBYPASS(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX8B10BBYPASS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TX8B10BEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXBUFDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXBUFDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXBUFDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXBUFDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMSAS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMWAKE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TXCTRL0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "TXCTRL1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXCTRL2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXCTRL2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXCTRL2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "TXDATA(127)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(126)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(125)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(124)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(123)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(122)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(121)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(120)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(119)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(118)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(117)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(116)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(115)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(114)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(113)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(112)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(111)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(110)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(109)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(108)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(107)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(106)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(105)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(104)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(103)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(102)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(101)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(100)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(99)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(98)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(97)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(96)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(95)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(94)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(93)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(92)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(91)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(90)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(89)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(88)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(87)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(86)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(85)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(84)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(83)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(82)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(81)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(80)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(79)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(78)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(77)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(76)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(75)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(74)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(73)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(72)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(71)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(70)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(69)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(68)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(67)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(66)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(65)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(64)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDATAEXTENDRSVD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "TXDATAEXTENDRSVD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDATAEXTENDRSVD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDEEMPH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDETECTRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFCTRL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXDIFFCTRL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXDIFFCTRL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDIFFPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDIFFPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYBYPASS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYUPDOWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXELECIDLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXHEADER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "TXHEADER(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXHEADER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXINHIBIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXLATCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXLATCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMAINCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXMAINCURSOR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMAINCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXMARGIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXMARGIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXMARGIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXOUTCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXOUTCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPCSRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPDELECIDLEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHDLYTSTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHINIT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINIT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMOVRDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPIPPMSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPIPPMSTEPSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPIPPMSTEPSIZE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPIPPMSTEPSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPISOPD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPISOPD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPLLCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXPLLCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPLLCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPMARESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOLARITY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPOSTCURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPOSTCURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPOSTCURSORINV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPOSTCURSORINV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRBSFORCEERR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRBSSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TXPRBSSEL(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRBSSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSOR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "TXPRECURSOR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXPRECURSOR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPRECURSORINV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRECURSORINV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXPROGDIVRESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPROGDIVRESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPIBIASEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPIBIASEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPISTRONGPDOWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISTRONGPDOWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXQPIWEAKPUP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPIWEAKPUP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "TXRATE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXRATE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSEQUENCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "TXSEQUENCE(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSEQUENCE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSWING", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSWING", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCALLIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCMODE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXSYSCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXSYSCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TXSYSCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSERRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXUSRCLK2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BUFGTCE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTCE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTCEMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTCEMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCEMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTCEMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTDIV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "BUFGTDIV(8)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(7)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(6)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(5)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(4)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(3)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTDIV(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTRESET(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUFGTRSTMASK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "BUFGTRSTMASK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRSTMASK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BUFGTRSTMASK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLFBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CPLLREFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 16, + "pins": [ + { + "name": "DMONITOROUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EYESCANDATAERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTHTXN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHTXN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTHTXP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTHTXP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTPOWERGOOD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTPOWERGOOD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLKMONITOR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEGEN3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERATEGEN3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIERATEIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLLPD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIERATEQPLLPD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERATEQPLLPD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLLRESET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCIERATEQPLLRESET(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCIERATEQPLLRESET(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIESYNCTXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIESYNCTXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERGEN3RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERGEN3RDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERPHYSTATUSRST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERPHYSTATUSRST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCIEUSERRATESTART", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCIEUSERRATESTART", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCSRSVDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "PCSRSVDOUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCSRSVDOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYSTATUS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PINRSRVDAS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PINRSRVDAS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PINRSRVDAS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RESETEXCEPTION", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESETEXCEPTION", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXBUFSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXBYTEREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRLOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCDRPHDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCDRPHDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANBONDSEQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANISALIGNED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCHANREALIGN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCHBONDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "RXCHBONDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCHBONDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCLKCORCNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXCLKCORCNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCLKCORCNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMINITDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMMADET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMSASDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXCOMWAKEDET", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RXCTRL0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RXCTRL1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCTRL2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXCTRL3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXCTRL3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXCTRL3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 127, + "pins": [ + { + "name": "RXDATA(127)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(126)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(125)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(124)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(123)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(122)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(121)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(120)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(119)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(118)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(117)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(116)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(115)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(114)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(113)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(112)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(111)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(110)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(109)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(108)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(107)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(106)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(105)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(104)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(103)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(102)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(101)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(100)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(99)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(98)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(97)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(96)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(95)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(94)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(93)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(92)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(91)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(90)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(89)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(88)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(87)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(86)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(85)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(84)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(83)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(82)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(81)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(80)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(79)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(78)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(77)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(76)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(75)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(74)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(73)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(72)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(71)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(70)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(69)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(68)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(67)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(66)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(65)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(64)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAEXTENDRSVD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RXDATAEXTENDRSVD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAEXTENDRSVD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDATAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXDATAVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXDATAVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXELECIDLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "RXHEADER(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADER(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXHEADERVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXHEADERVALID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXHEADERVALID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXMONITOROUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "RXMONITOROUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXMONITOROUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOSINTSTROBESTARTED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPHALIGNERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPHALIGNERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSERR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRBSLOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRBSLOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXPRGDIVRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXPRGDIVRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXQPISENN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPISENN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXQPISENP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXQPISENP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLKOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRECCLKOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIDERDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIDERDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPOUTCLKRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPOUTCLKRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSLIPPMARDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSLIPPMARDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTARTOFSEQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXSTARTOFSEQ(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTARTOFSEQ(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "RXSTATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RXVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXBUFSTATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "TXBUFSTATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TXBUFSTATUS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXCOMFINISH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXDLYSRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKFABRIC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXOUTCLKPCS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHALIGNDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPHINITDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPMARESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXPRGDIVRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXPRGDIVRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXQPISENN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISENN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXQPISENP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXQPISENP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRATEDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXRESETDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TXSYNCOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFDS", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PLLE4_ADV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKOUTPHYEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUTPHYEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUTPHY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUTPHY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PHY_CONTROL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "MEMREFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MEMREFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHYCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHYCTLMSTREMPTY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYCTLMSTREMPTY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHYCTLWRENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYCTLWRENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLLLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLLLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "READCALIBENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "READCALIBENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REFDLLLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFDLLLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SYNCIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYNCIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WRITECALIBENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRITECALIBENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHYCTLWD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "PHYCTLWD(31)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(30)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(29)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(28)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(27)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(26)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(25)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(24)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(23)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(22)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(21)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(20)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(19)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(18)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(17)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(16)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(15)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(14)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(13)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(12)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(11)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(10)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(9)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(8)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PHYCTLWD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHYCTLALMOSTFULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYCTLALMOSTFULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHYCTLEMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYCTLEMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHYCTLFULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYCTLFULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PHYCTLREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PHYCTLREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "INRANKA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "INRANKA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "INRANKA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "INRANKB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "INRANKB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "INRANKB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "INRANKC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "INRANKC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "INRANKC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "INRANKD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "INRANKD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "INRANKD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCENABLECALIB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "PCENABLECALIB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PCENABLECALIB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "AUXOUTPUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "AUXOUTPUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "AUXOUTPUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "AUXOUTPUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "AUXOUTPUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "INBURSTPENDING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "INBURSTPENDING(3)", + "direction": "output", + "type": "none" + }, + { + "name": "INBURSTPENDING(2)", + "direction": "output", + "type": "none" + }, + { + "name": "INBURSTPENDING(1)", + "direction": "output", + "type": "none" + }, + { + "name": "INBURSTPENDING(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OUTBURSTPENDING", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "OUTBURSTPENDING(3)", + "direction": "output", + "type": "none" + }, + { + "name": "OUTBURSTPENDING(2)", + "direction": "output", + "type": "none" + }, + { + "name": "OUTBURSTPENDING(1)", + "direction": "output", + "type": "none" + }, + { + "name": "OUTBURSTPENDING(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "MUXF8", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(((! S) & I0) | (S & I1))" + } + ] + } + ] + }, + { + "name": "RAM32M", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "ADDRA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRC(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOD(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BITSLICE_CONTROL", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK_FROM_EXT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_FROM_EXT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_VTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_VTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "NCLK_NIBBLE_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "NCLK_NIBBLE_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCLK_NIBBLE_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCLK_NIBBLE_IN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHY_RDCS0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PHY_RDCS0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_RDCS0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_RDCS0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_RDCS0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHY_RDCS1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PHY_RDCS1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_RDCS1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_RDCS1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_RDCS1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHY_RDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PHY_RDEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_RDEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_RDEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_RDEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHY_WRCS0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PHY_WRCS0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_WRCS0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_WRCS0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_WRCS0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PHY_WRCS1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "PHY_WRCS1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_WRCS1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_WRCS1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PHY_WRCS1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PLL_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PLL_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REFCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RIU_ADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "RIU_ADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_ADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_ADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_ADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_ADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_ADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RIU_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RIU_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RIU_NIBBLE_SEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RIU_NIBBLE_SEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RIU_WR_DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RIU_WR_DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RIU_WR_DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RIU_WR_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RIU_WR_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_IN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_IN0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_IN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_IN1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_IN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_IN2(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_IN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_IN3(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_IN4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_IN4(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_IN5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_IN5(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN5(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_IN6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_IN6(39)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(38)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(37)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(36)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(35)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(34)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(33)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(32)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(31)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(30)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(29)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(28)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(27)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(26)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(25)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(24)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(23)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(22)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(21)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(20)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(19)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(18)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(17)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(16)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(15)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(14)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(13)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(12)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(11)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(10)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(9)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(8)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(7)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(6)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(5)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(4)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(3)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(2)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(1)", + "direction": "input", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_IN6(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TBYTE_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "TBYTE_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TBYTE_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TBYTE_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TBYTE_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN0(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN1(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN2(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN3(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN4(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN5(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN5(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN6(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN6(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_IN_TRI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_IN_TRI(39)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(38)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(37)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(36)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(35)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(34)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(33)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(32)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(31)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(30)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(29)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(28)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(27)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(26)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(25)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(24)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(23)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(22)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(21)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(20)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(19)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(18)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(17)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(16)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_IN_TRI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_TO_EXT_NORTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_TO_EXT_NORTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK_TO_EXT_SOUTH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_TO_EXT_SOUTH", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DLY_RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DLY_RDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DYN_DCI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "DYN_DCI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DYN_DCI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DYN_DCI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DYN_DCI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DYN_DCI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DYN_DCI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DYN_DCI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "NCLK_NIBBLE_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "NCLK_NIBBLE_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PCLK_NIBBLE_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PCLK_NIBBLE_OUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RIU_RD_DATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RIU_RD_DATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RIU_RD_DATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RIU_VALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RIU_VALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_OUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_OUT0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_OUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_OUT1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_OUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_OUT2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_OUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_OUT3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_OUT4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_OUT4(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_OUT5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_OUT5(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RX_BIT_CTRL_OUT6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "RX_BIT_CTRL_OUT6(39)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(38)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(37)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(36)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(35)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(34)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(33)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(32)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(31)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(30)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(29)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(28)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(27)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(26)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(25)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(24)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(23)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(22)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(21)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(20)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(19)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(18)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(17)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(16)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(15)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(14)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(13)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(12)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(11)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(10)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(9)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(8)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(7)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(6)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(5)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RX_BIT_CTRL_OUT6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT0(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT1(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT2(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT3(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT4(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT5(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT6(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TX_BIT_CTRL_OUT_TRI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "TX_BIT_CTRL_OUT_TRI(39)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(38)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(37)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(36)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(35)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(34)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(33)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(32)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(31)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(30)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(29)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(28)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(27)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(26)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(25)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(24)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(23)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(22)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(21)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(20)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(19)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(18)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(17)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(16)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "TX_BIT_CTRL_OUT_TRI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "VTC_RDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VTC_RDY", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM32M16", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "ADDRA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRC(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRG(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "ADDRH(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DIH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DIH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOC(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOC(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOF(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOF(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOG(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOG(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DOH(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DOH(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "I" + } + ] + } + ] + }, + { + "name": "DIFFINBUF", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DIFF_IN_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIFF_IN_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIFF_IN_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIFF_IN_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "OSC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OSC_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "OSC_EN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "OSC_EN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VREF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VREF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "O_B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O_B", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OSERDESE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDIV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "T_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T_OUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "HARD_SYNC", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUF_INTERMDISABLE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IBUFDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INTERMDISABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "PULLDOWN", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFGCE_DIV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IBUFDS_GTM", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ODIV2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ODIV2", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "BUFG_GT_SYNC", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CESYNC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CESYNC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLRSYNC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLRSYNC", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "MASTER_JTAG", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "TCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TDI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TMS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TMS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TDO", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ODDR", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IN_FIFO", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "RDCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RDEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RDEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WRCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WRCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WREN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "D0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "D1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "D2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "D3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "D4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "D7(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D7(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D7(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D7(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D8", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "D8(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D8(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D8(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D8(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D9", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "D9(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D9(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D9(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D9(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D5(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D5(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "D6(7)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(6)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(5)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(4)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(3)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(2)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(1)", + "direction": "input", + "type": "none" + }, + { + "name": "D6(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ALMOSTEMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ALMOSTEMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ALMOSTFULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ALMOSTFULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FULL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FULL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q2(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q2(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q2(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q2(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q2(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q2(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q2(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q2(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q3(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q3(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q3(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q3(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q3(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q3(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q3(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q3(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q4(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q4(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q4(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q4(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q4(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q4(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q4(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q4(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q5(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q5(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q6(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q6(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q7(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q7(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q7(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q7(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q7(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q7(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q7(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q7(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q8", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q8(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q8(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q8(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q8(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q8(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q8(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q8(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q8(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q9", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q9(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q9(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q9(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q9(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q9(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q9(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q9(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q9(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OSERDES", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDIV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "REV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TCE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TCE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SHIFTOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TQ", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PLLE2_BASE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PS7", + "types": [ + "sequential" + ], + "pin_groups": [ + { + "name": "DDRARB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DDRARB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DDRARB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DDRARB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DDRARB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA0ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA0ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA0DAREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA0DAREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA0DRLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA0DRLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA0DRTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DMA0DRTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DMA0DRTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA0DRVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA0DRVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA1ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA1ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA1DAREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA1DAREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA1DRLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA1DRLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA1DRTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DMA1DRTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DMA1DRTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA1DRVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA1DRVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA2ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA2ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA2DAREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA2DAREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA2DRLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA2DRLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA2DRTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DMA2DRTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DMA2DRTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA2DRVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA2DRVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA3ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA3ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA3DAREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA3DAREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA3DRLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA3DRLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA3DRTYPE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DMA3DRTYPE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DMA3DRTYPE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA3DRVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA3DRVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOCAN0PHYRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOCAN0PHYRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOCAN1PHYRX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOCAN1PHYRX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0EXTINTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0EXTINTIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIICOL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIICOL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIICRS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIICRS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIIRXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIIRXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIIRXD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET0GMIIRXD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET0GMIIRXD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIIRXDV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIIRXDV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIIRXER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIIRXER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIITXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIITXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0MDIOI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0MDIOI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1EXTINTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1EXTINTIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIICOL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIICOL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIICRS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIICRS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIIRXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIIRXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIIRXD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET1GMIIRXD(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOENET1GMIIRXD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIIRXDV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIIRXDV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIIRXER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIIRXER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIITXCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIITXCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1MDIOI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1MDIOI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOGPIOI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "EMIOGPIOI(63)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(62)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(61)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(60)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(59)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(58)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(57)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(56)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(55)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(54)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(53)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(52)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(51)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(50)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(49)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(48)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(47)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(46)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(45)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(44)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(43)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(42)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(41)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(40)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(39)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(38)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(37)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(36)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(35)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(34)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(33)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(32)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(31)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(30)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(29)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(28)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(27)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(26)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(25)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(24)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(23)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(22)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(21)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(20)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(19)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(18)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(17)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(16)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOGPIOI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SCLI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SCLI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SDAI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SDAI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SCLI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SCLI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SDAI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SDAI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOPJTAGTCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOPJTAGTCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOPJTAGTDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOPJTAGTDI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOPJTAGTMS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOPJTAGTMS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CLKFB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CLKFB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CMDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CMDI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0DATAI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "EMIOSDIO0DATAI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0WP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0WP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CLKFB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CLKFB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CMDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CMDI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1DATAI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "EMIOSDIO1DATAI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1WP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1WP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0MI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0MI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SCLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SCLKI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SSIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SSIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1MI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1MI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SCLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SCLKI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SSIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SSIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOSRAMINTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSRAMINTIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOTRACECLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOTRACECLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC0CLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC0CLKI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC0CLKI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC0CLKI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC1CLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC1CLKI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC1CLKI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "EMIOTTC1CLKI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0CTSN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0CTSN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0DCDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0DCDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0DSRN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0DSRN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0RIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0RIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0RX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0RX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1CTSN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1CTSN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1DCDN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1DCDN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1DSRN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1DSRN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1RIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1RIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1RX", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1RX", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUSB0VBUSPWRFAULT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUSB0VBUSPWRFAULT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOUSB1VBUSPWRFAULT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUSB1VBUSPWRFAULT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EMIOWDTCLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOWDTCLKI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EVENTEVENTI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVENTEVENTI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FCLKCLKTRIGN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "FCLKCLKTRIGN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "FCLKCLKTRIGN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "FCLKCLKTRIGN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "FCLKCLKTRIGN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FPGAIDLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FPGAIDLEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FTMDTRACEINATID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "FTMDTRACEINATID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINATID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINATID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINATID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FTMDTRACEINCLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FTMDTRACEINCLOCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FTMDTRACEINDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "FTMDTRACEINDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMDTRACEINDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FTMDTRACEINVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FTMDTRACEINVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FTMTF2PDEBUG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "FTMTF2PDEBUG(31)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(30)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(29)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(28)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(27)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(26)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(25)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(24)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(23)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(22)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(21)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(20)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(19)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(18)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(17)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(16)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(15)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(14)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(13)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(12)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(11)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(10)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(9)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(8)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(7)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(6)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(5)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(4)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PDEBUG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FTMTF2PTRIG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "FTMTF2PTRIG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PTRIG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PTRIG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTF2PTRIG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FTMTP2FTRIGACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "FTMTP2FTRIGACK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTP2FTRIGACK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTP2FTRIGACK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "FTMTP2FTRIGACK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IRQF2P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 19, + "pins": [ + { + "name": "IRQF2P(19)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(18)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(17)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(16)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(15)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(14)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(13)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(12)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(11)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(10)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(9)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(8)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(7)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(6)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(5)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(4)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(3)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(2)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(1)", + "direction": "input", + "type": "none" + }, + { + "name": "IRQF2P(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0ARREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0AWREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0BID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MAXIGP0BID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0BRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0BRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0BRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0BVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0BVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "MAXIGP0RDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MAXIGP0RID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0RLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0RRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP0RRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0RVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0WREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1ARREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1AWREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1BID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MAXIGP1BID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1BRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1BRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1BRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1BVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1BVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "MAXIGP1RDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MAXIGP1RID(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1RLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RRESP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1RRESP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MAXIGP1RRESP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1RVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1WREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIACPARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIACPARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIACPARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPARLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIACPARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "SAXIACPARUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPARUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIACPAWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPAWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIACPAWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPAWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIACPAWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPAWLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPAWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIACPAWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPAWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWUSER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "SAXIACPAWUSER(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWUSER(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWUSER(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWUSER(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPAWUSER(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPAWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPBREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPBREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPRREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "SAXIACPWDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPWLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIACPWSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIACPWSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIGP0ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP0ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0ARLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP0ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIGP0AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP0AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0AWLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP0AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIGP0WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP0WID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP0WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP0WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIGP1ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP1ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1ARLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP1ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIGP1AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP1AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1AWLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIGP1AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIGP1WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP1WID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIGP1WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIGP1WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIHP0ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP0ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP0ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP0ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP0ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP0ARLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP0ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP0ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP0ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIHP0AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP0AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP0AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP0AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP0AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP0AWLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP0AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP0AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP0AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0RDISSUECAP1EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0RDISSUECAP1EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "SAXIHP0WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0WID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP0WID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0WRISSUECAP1EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0WRISSUECAP1EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP0WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP0WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIHP1ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP1ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP1ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP1ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP1ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP1ARLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP1ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP1ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP1ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIHP1AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP1AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP1AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP1AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP1AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP1AWLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP1AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP1AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP1AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1RDISSUECAP1EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1RDISSUECAP1EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "SAXIHP1WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1WID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP1WID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1WRISSUECAP1EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1WRISSUECAP1EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP1WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP1WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIHP2ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP2ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP2ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP2ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP2ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP2ARLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP2ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP2ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP2ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIHP2AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP2AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP2AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP2AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP2AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP2AWLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP2AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP2AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP2AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2RDISSUECAP1EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2RDISSUECAP1EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "SAXIHP2WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2WID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP2WID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2WRISSUECAP1EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2WRISSUECAP1EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP2WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP2WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ACLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3ACLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIHP3ARADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP3ARBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP3ARCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP3ARID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP3ARLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP3ARLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP3ARPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP3ARQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP3ARSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3ARSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3ARVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIHP3AWADDR(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWBURST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP3AWBURST(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWBURST(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWCACHE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP3AWCACHE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWCACHE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWCACHE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWCACHE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP3AWID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWLEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP3AWLEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWLEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWLEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWLEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWLOCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP3AWLOCK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWLOCK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWPROT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP3AWPROT(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWPROT(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWPROT(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWQOS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SAXIHP3AWQOS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWQOS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWQOS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWQOS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWSIZE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP3AWSIZE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3AWSIZE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3AWVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3BREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3BREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3RDISSUECAP1EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3RDISSUECAP1EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3RREADY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3RREADY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3WDATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "SAXIHP3WDATA(63)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(62)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(61)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(60)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(59)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(58)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(57)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(56)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(55)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(54)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(53)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(52)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(51)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(50)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(49)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(48)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(47)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(46)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(45)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(44)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(43)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(42)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(41)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(40)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(39)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(38)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(37)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(36)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(35)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(34)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(33)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(32)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(31)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(30)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(29)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(28)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(27)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(26)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(25)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WDATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3WID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP3WID(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WID(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WID(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WID(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WID(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WID(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3WLAST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3WLAST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3WRISSUECAP1EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3WRISSUECAP1EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3WSTRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP3WSTRB(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WSTRB(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WSTRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WSTRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WSTRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WSTRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WSTRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SAXIHP3WSTRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3WVALID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3WVALID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DMA0DATYPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DMA0DATYPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMA0DATYPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA0DAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA0DAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA0DRREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA0DRREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA0RSTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA0RSTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA1DATYPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DMA1DATYPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMA1DATYPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA1DAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA1DAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA1DRREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA1DRREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA1RSTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA1RSTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA2DATYPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DMA2DATYPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMA2DATYPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA2DAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA2DAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA2DRREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA2DRREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA2RSTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA2RSTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA3DATYPE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "DMA3DATYPE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DMA3DATYPE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA3DAVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA3DAVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA3DRREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA3DRREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DMA3RSTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DMA3RSTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOCAN0PHYTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOCAN0PHYTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOCAN1PHYTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOCAN1PHYTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIITXD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET0GMIITXD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET0GMIITXD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIITXEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIITXEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0GMIITXER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0GMIITXER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0MDIOMDC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0MDIOMDC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0MDIOO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0MDIOO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0MDIOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0MDIOTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0PTPDELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0PTPDELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0PTPDELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0PTPDELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0PTPPDELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0PTPPDELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0PTPPDELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0PTPPDELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0PTPPDELAYRESPRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0PTPPDELAYRESPRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0PTPPDELAYRESPTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0PTPPDELAYRESPTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0PTPSYNCFRAMERX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0PTPSYNCFRAMERX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0PTPSYNCFRAMETX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0PTPSYNCFRAMETX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0SOFRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0SOFRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET0SOFTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET0SOFTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIITXD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "EMIOENET1GMIITXD(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOENET1GMIITXD(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIITXEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIITXEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1GMIITXER", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1GMIITXER", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1MDIOMDC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1MDIOMDC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1MDIOO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1MDIOO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1MDIOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1MDIOTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1PTPDELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1PTPDELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1PTPDELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1PTPDELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1PTPPDELAYREQRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1PTPPDELAYREQRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1PTPPDELAYREQTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1PTPPDELAYREQTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1PTPPDELAYRESPRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1PTPPDELAYRESPRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1PTPPDELAYRESPTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1PTPPDELAYRESPTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1PTPSYNCFRAMERX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1PTPSYNCFRAMERX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1PTPSYNCFRAMETX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1PTPSYNCFRAMETX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1SOFRX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1SOFRX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOENET1SOFTX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOENET1SOFTX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGPIOO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "EMIOGPIOO(63)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(62)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(61)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(60)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(59)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(58)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(57)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(56)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(55)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(54)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(53)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(52)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(51)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(50)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(49)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(48)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(47)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(46)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(45)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(44)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(43)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(42)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(41)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(40)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(39)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(38)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(37)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(36)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(35)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(34)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(33)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(32)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOGPIOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "EMIOGPIOTN(63)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(62)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(61)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(60)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(59)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(58)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(57)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(56)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(55)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(54)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(53)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(52)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(51)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(50)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(49)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(48)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(47)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(46)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(45)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(44)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(43)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(42)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(41)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(40)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(39)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(38)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(37)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(36)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(35)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(34)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(33)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(32)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOGPIOTN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SCLO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SCLO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SCLTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SCLTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SDAO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SDAO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C0SDATN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C0SDATN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SCLO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SCLO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SCLTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SCLTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SDAO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SDAO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOI2C1SDATN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOI2C1SDATN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOPJTAGTDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOPJTAGTDO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOPJTAGTDTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOPJTAGTDTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0BUSPOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0BUSPOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0BUSVOLT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOSDIO0BUSVOLT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0BUSVOLT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0BUSVOLT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CMDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CMDO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0CMDTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0CMDTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0DATAO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "EMIOSDIO0DATAO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATAO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0DATATN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "EMIOSDIO0DATATN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATATN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATATN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO0DATATN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO0LED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO0LED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1BUSPOW", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1BUSPOW", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1BUSVOLT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOSDIO1BUSVOLT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1BUSVOLT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1BUSVOLT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CMDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CMDO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1CMDTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1CMDTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1DATAO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "EMIOSDIO1DATAO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATAO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1DATATN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "EMIOSDIO1DATATN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATATN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATATN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSDIO1DATATN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSDIO1LED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSDIO1LED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0MO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0MO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0MOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0MOTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SCLKO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SCLKO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SCLKTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SCLKTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SSNTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0SSNTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0SSON", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOSPI0SSON(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSPI0SSON(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSPI0SSON(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI0STN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI0STN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1MO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1MO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1MOTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1MOTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SCLKO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SCLKO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SCLKTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SCLKTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SSNTN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1SSNTN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1SSON", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOSPI1SSON(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSPI1SSON(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOSPI1SSON(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOSPI1STN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOSPI1STN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOTRACECTL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOTRACECTL", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOTRACEDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "EMIOTRACEDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTRACEDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC0WAVEO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC0WAVEO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC0WAVEO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC0WAVEO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOTTC1WAVEO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "EMIOTTC1WAVEO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC1WAVEO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOTTC1WAVEO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0DTRN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0DTRN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0RTSN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0RTSN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART0TX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART0TX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1DTRN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1DTRN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1RTSN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1RTSN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUART1TX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUART1TX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUSB0PORTINDCTL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EMIOUSB0PORTINDCTL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOUSB0PORTINDCTL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUSB0VBUSPWRSELECT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUSB0VBUSPWRSELECT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUSB1PORTINDCTL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EMIOUSB1PORTINDCTL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EMIOUSB1PORTINDCTL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOUSB1VBUSPWRSELECT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOUSB1VBUSPWRSELECT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EMIOWDTRSTO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EMIOWDTRSTO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EVENTEVENTO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EVENTEVENTO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EVENTSTANDBYWFE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EVENTSTANDBYWFE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EVENTSTANDBYWFE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EVENTSTANDBYWFI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "EVENTSTANDBYWFI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "EVENTSTANDBYWFI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FCLKCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "FCLKCLK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "FCLKCLK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "FCLKCLK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "FCLKCLK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FCLKRESETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "FCLKRESETN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "FCLKRESETN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "FCLKRESETN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "FCLKRESETN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FTMTF2PTRIGACK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "FTMTF2PTRIGACK(3)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTF2PTRIGACK(2)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTF2PTRIGACK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTF2PTRIGACK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FTMTP2FDEBUG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "FTMTP2FDEBUG(31)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(30)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(29)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(28)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(27)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(26)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(25)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(24)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(23)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(22)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(21)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(20)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(19)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(18)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(17)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(16)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(15)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(14)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(13)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(12)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(11)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(10)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(9)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(8)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(7)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(6)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(5)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(4)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(3)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(2)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(1)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FDEBUG(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FTMTP2FTRIG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "FTMTP2FTRIG(3)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FTRIG(2)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FTRIG(1)", + "direction": "output", + "type": "none" + }, + { + "name": "FTMTP2FTRIG(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IRQP2F", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 28, + "pins": [ + { + "name": "IRQP2F(28)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(27)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(26)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(25)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(24)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(23)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(22)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(21)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(20)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(19)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(18)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(17)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(16)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(15)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(14)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(13)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(12)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(11)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(10)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(9)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(8)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(7)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(6)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(5)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(4)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(3)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(2)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(1)", + "direction": "output", + "type": "none" + }, + { + "name": "IRQP2F(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "MAXIGP0ARADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0ARBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0ARCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARESETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0ARESETN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MAXIGP0ARID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0ARLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0ARLOCK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARLOCK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP0ARPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0ARQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0ARSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0ARSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0ARVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0ARVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "MAXIGP0AWADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0AWBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0AWCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MAXIGP0AWID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0AWLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0AWLOCK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWLOCK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP0AWPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0AWQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP0AWSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0AWSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0AWVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0AWVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0BREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0BREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0RREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0RREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "MAXIGP0WDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MAXIGP0WID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0WLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WSTRB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP0WSTRB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP0WSTRB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP0WVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP0WVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "MAXIGP1ARADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1ARBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1ARCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARESETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1ARESETN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MAXIGP1ARID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1ARLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1ARLOCK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARLOCK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP1ARPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1ARQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1ARSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1ARSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1ARVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1ARVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "MAXIGP1AWADDR(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWBURST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1AWBURST(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWBURST(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWCACHE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1AWCACHE(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWCACHE(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWCACHE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWCACHE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MAXIGP1AWID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWLEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1AWLEN(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLEN(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLEN(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLEN(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWLOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1AWLOCK(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWLOCK(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWPROT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "MAXIGP1AWPROT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWPROT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWPROT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWQOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1AWQOS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWQOS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWQOS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWQOS(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWSIZE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "MAXIGP1AWSIZE(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1AWSIZE(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1AWVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1AWVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1BREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1BREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1RREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1RREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "MAXIGP1WDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 11, + "pins": [ + { + "name": "MAXIGP1WID(11)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(10)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(9)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(8)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(7)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(6)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1WLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WSTRB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MAXIGP1WSTRB(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MAXIGP1WSTRB(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MAXIGP1WVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MAXIGP1WVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARESETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPARESETN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPAWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPAWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPBID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPBID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPBID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPBID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPBRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPBRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPBRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPBVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPBVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "SAXIACPRDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIACPRID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPRLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIACPRRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIACPRRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPRVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPRVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIACPWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIACPWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARESETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0ARESETN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP0BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIGP0RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP0RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP0RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP0RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP0WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP0WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARESETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1ARESETN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP1BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "SAXIGP1RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIGP1RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIGP1RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIGP1RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIGP1WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIGP1WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARESETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0ARESETN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP0BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP0BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP0RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP0RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "SAXIHP0RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP0RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP0RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP0WACOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WACOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP0WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP0WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP0WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP0WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARESETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1ARESETN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP1BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP1BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP1RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP1RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "SAXIHP1RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP1RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP1RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP1WACOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WACOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP1WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP1WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP1WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP1WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARESETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2ARESETN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP2BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP2BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP2RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP2RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "SAXIHP2RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP2RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP2RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP2WACOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WACOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP2WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP2WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP2WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP2WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARESETN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3ARESETN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3ARREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3ARREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3AWREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3AWREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3BID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP3BID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3BID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3BID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3BID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3BID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3BID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3BRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP3BRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3BRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3BVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3BVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3RACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "SAXIHP3RACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3RCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP3RCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3RDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 63, + "pins": [ + { + "name": "SAXIHP3RDATA(63)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(62)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(61)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(60)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(59)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(58)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(57)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(56)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(55)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(54)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(53)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(52)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(51)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(50)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(49)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(48)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(47)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(46)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(45)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(44)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(43)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(42)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(41)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(40)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(39)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(38)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(37)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(36)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(35)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(34)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(33)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(32)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(31)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(30)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(29)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(28)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(27)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(26)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(25)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(24)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(23)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(22)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(21)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(20)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(19)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(18)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(17)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(16)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(15)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3RID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP3RID(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RID(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RID(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RID(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RID(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RID(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3RLAST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3RLAST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3RRESP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SAXIHP3RRESP(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3RRESP(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3RVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3RVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3WACOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "SAXIHP3WACOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WACOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WACOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WACOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WACOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WACOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3WCOUNT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "SAXIHP3WCOUNT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WCOUNT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WCOUNT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WCOUNT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WCOUNT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WCOUNT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WCOUNT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SAXIHP3WCOUNT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SAXIHP3WREADY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SAXIHP3WREADY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DDRA", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "DDRA(14)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(13)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(12)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(11)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(10)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(9)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRA(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRBA", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "DDRBA(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRBA(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRBA(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRCASB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRCASB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRCKE", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRCKE", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRCKN", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRCKN", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRCKP", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRCKP", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRCSB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRCSB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRDM", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DDRDM(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDM(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDM(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDM(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRDQ", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "DDRDQ(31)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(30)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(29)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(28)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(27)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(26)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(25)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(24)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(23)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(22)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(21)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(20)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(19)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(18)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(17)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(16)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(15)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(14)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(13)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(12)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(11)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(10)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(9)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQ(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRDQSN", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DDRDQSN(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQSN(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQSN(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQSN(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRDQSP", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DDRDQSP(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQSP(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQSP(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "DDRDQSP(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRDRSTB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRDRSTB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRODT", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRODT", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRRASB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRRASB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRVRN", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRVRN", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRVRP", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRVRP", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "DDRWEB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DDRWEB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "MIO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 53, + "pins": [ + { + "name": "MIO(53)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(52)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(51)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(50)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(49)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(48)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(47)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(46)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(45)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(44)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(43)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(42)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(41)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(40)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(39)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(38)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(37)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(36)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(35)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(34)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(33)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(32)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(31)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(30)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(29)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(28)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(27)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(26)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(25)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(24)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(23)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(22)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(21)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(20)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(19)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(18)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(17)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(16)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(15)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(14)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(13)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(12)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(11)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(10)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(9)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(8)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(7)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(6)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(5)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(4)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(3)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(2)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(1)", + "direction": "inout", + "type": "none" + }, + { + "name": "MIO(0)", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSCLK", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSCLK", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSPORB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSPORB", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "PSSRSTB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSSRSTB", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "MMCME2_BASE", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUTB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3B", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "FRAME_ECCE2", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CRCERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CRCERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ECCERROR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ECCERROR", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "ECCERRORSINGLE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ECCERRORSINGLE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYNDROMEVALID", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SYNDROMEVALID", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYNDROME", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 12, + "pins": [ + { + "name": "SYNDROME(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNDROME(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "FAR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 25, + "pins": [ + { + "name": "FAR(25)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(24)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(23)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(22)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(21)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(20)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(19)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(18)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(17)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(16)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "FAR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYNBIT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "SYNBIT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNBIT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNBIT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNBIT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNBIT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SYNWORD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "SYNWORD(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNWORD(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNWORD(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNWORD(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNWORD(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNWORD(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SYNWORD(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "DCM_SP", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DSSEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DSSEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PSINCDEC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSINCDEC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK180", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK180", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK270", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK270", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK2X", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK2X", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK2X180", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK2X180", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLK90", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK90", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKDV", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDV", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFX", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFX", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKFX180", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFX180", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PSDONE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PSDONE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "STATUS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "STATUS(7)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(6)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(5)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(4)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(3)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(2)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(1)", + "direction": "output", + "type": "none" + }, + { + "name": "STATUS(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFDS_DPHY", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "HSTX_I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "HSTX_I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "HSTX_T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "HSTX_T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LPTX_I_N", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPTX_I_N", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LPTX_I_P", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPTX_I_P", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LPTX_T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LPTX_T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFDS_GTM_ADV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CEB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CEB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "I(3)", + "direction": "input", + "type": "none" + }, + { + "name": "I(2)", + "direction": "input", + "type": "none" + }, + { + "name": "I(1)", + "direction": "input", + "type": "none" + }, + { + "name": "I(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "TX_BITSLICE_TRI", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BIT_CTRL_IN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "BIT_CTRL_IN(39)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(38)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(37)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(36)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(35)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(34)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(33)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(32)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(31)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(30)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(29)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(28)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(27)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(26)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(25)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(24)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(23)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(22)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(21)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(20)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(19)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(18)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(17)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(16)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BIT_CTRL_IN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEIN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "CNTVALUEIN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "EN_VTC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EN_VTC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "INC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LOAD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOAD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST_DLY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST_DLY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BIT_CTRL_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 39, + "pins": [ + { + "name": "BIT_CTRL_OUT(39)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(38)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(37)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(36)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(35)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(34)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(33)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(32)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(31)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(30)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(29)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(28)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(27)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(26)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(25)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(24)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(23)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(22)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(21)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(20)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(19)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(18)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(17)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(16)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(15)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(14)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(13)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(12)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(11)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(10)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(9)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "BIT_CTRL_OUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CNTVALUEOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "CNTVALUEOUT(8)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(7)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(6)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CNTVALUEOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "TRI_OUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TRI_OUT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "PLLE2_ADV", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKIN2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKIN2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKINSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKINSEL", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 6, + "pins": [ + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PWRDWN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PWRDWN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKFBOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CLKOUT5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKOUT5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "LOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LOCKED", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "ISERDESE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKDIV", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLK_B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK_B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FIFO_RD_CLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_RD_CLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FIFO_RD_EN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_RD_EN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FIFO_EMPTY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FIFO_EMPTY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "INTERNAL_DIVCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INTERNAL_DIVCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "Q(7)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(6)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(5)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(4)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(3)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(2)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(1)", + "direction": "output", + "type": "none" + }, + { + "name": "Q(0)", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "FDRE", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((CE & (D & (! R))) | (IQ & ((! CE) & (! R))))", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "FDRS", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((D & (! R)) | ((! R) & S))", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "set", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "set" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SRL16", + "types": [ + "sequential" + ], + "pin_groups": [ + { + "name": "A0", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A0", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "A1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "A2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "A3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A3", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "CLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state" + } + ] + } + ] + }, + { + "name": "FDR", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "(D & (! R))", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "FDE", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((D & CE) | (IQ & (! CE)))", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "FD", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "D", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "GTYE4_COMMON", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGBYPASSB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGMONITORENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGPDB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGPDB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "BGRCALOVRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "BGRCALOVRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BGRCALOVRDENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPADDR(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DRPDI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTGREFCLK1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTGREFCLK1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTNORTHREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTNORTHREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK00", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK00", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK01", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK01", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK10", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK10", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTSOUTHREFCLK11", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTSOUTHREFCLK11", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLL0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PCIERATEQPLL0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIERATEQPLL0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIERATEQPLL0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PCIERATEQPLL1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "PCIERATEQPLL1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIERATEQPLL1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PCIERATEQPLL1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD0(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD0(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PMARSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "PMARSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0FBDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLL0FBDIV(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0FBDIV(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0PD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0PD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "QPLL0REFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0REFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL0REFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL0RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLKRSVD0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLKRSVD0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1CLKRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1CLKRSVD1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1FBDIV", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLL1FBDIV(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1FBDIV(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCKDETCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCKDETCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCKEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCKEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1PD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1PD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLKSEL", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 2, + "pins": [ + { + "name": "QPLL1REFCLKSEL(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1REFCLKSEL(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLL1REFCLKSEL(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLL1RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLRSVD1(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD1(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "QPLLRSVD2(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD2(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "QPLLRSVD3(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD3(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "QPLLRSVD4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLRSVD4(7)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(6)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(5)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(4)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(3)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(2)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(1)", + "direction": "input", + "type": "none" + }, + { + "name": "QPLLRSVD4(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RCALENB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCALENB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 24, + "pins": [ + { + "name": "SDM0DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDM0RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0TOGGLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDM0TOGGLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM0WIDTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SDM0WIDTH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM0WIDTH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1DATA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 24, + "pins": [ + { + "name": "SDM1DATA(24)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(23)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(22)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(21)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(20)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(19)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(18)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(17)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(16)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(15)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(14)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(13)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(12)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(11)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(10)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(9)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(8)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(7)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(6)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1DATA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDM1RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1TOGGLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDM1TOGGLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDM1WIDTH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "SDM1WIDTH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "SDM1WIDTH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBCFGSTREAMEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBCFGSTREAMEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBDO", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "UBDO(15)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(14)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(13)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(12)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(11)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(10)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(9)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(8)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(7)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(6)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(5)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(4)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(3)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(2)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(1)", + "direction": "input", + "type": "none" + }, + { + "name": "UBDO(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBDRDY", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBDRDY", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBENABLE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBENABLE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBGPI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "UBGPI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "UBGPI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBINTR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "UBINTR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "UBINTR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBIOLMBRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBIOLMBRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBMBRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBMBRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBMDMCAPTURE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBMDMCAPTURE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBMDMDBGRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBMDMDBGRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBMDMDBGUPDATE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBMDMDBGUPDATE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBMDMREGEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "UBMDMREGEN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "UBMDMREGEN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "UBMDMREGEN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "UBMDMREGEN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBMDMSHIFT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBMDMSHIFT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBMDMSYSRST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBMDMSYSRST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBMDMTCK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBMDMTCK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "UBMDMTDI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBMDMTDI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DRPDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DRPDO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DRPDO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRPRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRPRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVDOUT0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PMARSVDOUT1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "PMARSVDOUT1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "PMARSVDOUT1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0FBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0FBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0LOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0OUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0OUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0OUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0OUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL0REFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL0REFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1FBCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1FBCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1LOCK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1LOCK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1OUTCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1OUTCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1OUTREFCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1OUTREFCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLL1REFCLKLOST", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "QPLL1REFCLKLOST", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLDMONITOR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLDMONITOR0(7)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(6)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(5)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(4)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(3)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(2)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(1)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR0(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "QPLLDMONITOR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "QPLLDMONITOR1(7)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(6)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(5)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(4)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(3)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(2)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(1)", + "direction": "output", + "type": "none" + }, + { + "name": "QPLLDMONITOR1(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "REFCLKOUTMONITOR1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "REFCLKOUTMONITOR1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLK0SEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXRECCLK0SEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXRECCLK0SEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "RXRECCLK1SEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RXRECCLK1SEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "RXRECCLK1SEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM0FINALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SDM0FINALOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0FINALOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0FINALOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0FINALOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM0TESTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "SDM0TESTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM0TESTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM1FINALOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "SDM1FINALOUT(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1FINALOUT(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1FINALOUT(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1FINALOUT(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDM1TESTDATA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 14, + "pins": [ + { + "name": "SDM1TESTDATA(14)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(13)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(12)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(11)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(10)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(9)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(8)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(7)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(6)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(5)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(4)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(3)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(2)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(1)", + "direction": "output", + "type": "none" + }, + { + "name": "SDM1TESTDATA(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UBDADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "UBDADDR(15)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(14)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(13)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(12)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(11)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(10)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(9)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(8)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(7)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(6)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(5)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UBDEN", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBDEN", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UBDI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "UBDI(15)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(14)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(13)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(12)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(11)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(10)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(9)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(8)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(7)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(6)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(5)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(4)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "UBDI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UBDWE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBDWE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UBMDMTDO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBMDMTDO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UBRSVDOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBRSVDOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "UBTXUART", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "UBTXUART", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "IOBUFDS_DIFF_OUT", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TM", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TM", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "TS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "TS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "IO", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IO", + "direction": "inout", + "type": "none" + } + ] + }, + { + "name": "IOB", + "direction": "inout", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IOB", + "direction": "inout", + "type": "none" + } + ] + } + ] + }, + { + "name": "SYSMONE1", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CONVST", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONVST", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CONVSTCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CONVSTCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DADDR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "DADDR(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DADDR(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DEN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DEN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DI(15)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(14)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(13)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(12)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(11)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(10)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(9)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(8)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(7)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(6)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(5)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(4)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DWE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DWE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2C_SCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2C_SCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2C_SDA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2C_SDA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "RESET", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RESET", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VAUXN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "VAUXN(15)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(14)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(13)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(12)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(11)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(10)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(9)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(8)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(7)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(6)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(5)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(4)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VAUXP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "VAUXP(15)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(14)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(13)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(12)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(11)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(10)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(9)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(8)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(7)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(6)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(5)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(4)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(3)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(2)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(1)", + "direction": "input", + "type": "none" + }, + { + "name": "VAUXP(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "VP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "VP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ALM", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "ALM(15)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(14)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(13)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(12)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(11)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(10)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(9)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(8)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(7)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(6)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(5)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(4)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(3)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(2)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(1)", + "direction": "output", + "type": "none" + }, + { + "name": "ALM(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "BUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BUSY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CHANNEL", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "CHANNEL(5)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(4)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(3)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(2)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(1)", + "direction": "output", + "type": "none" + }, + { + "name": "CHANNEL(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DO(15)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(14)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(13)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(12)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(11)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(10)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(9)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(8)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(7)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(6)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(5)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(4)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DRDY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DRDY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EOC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EOC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EOS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "I2C_SCLK_TS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2C_SCLK_TS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "I2C_SDA_TS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2C_SDA_TS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "JTAGBUSY", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "JTAGBUSY", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "JTAGLOCKED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "JTAGLOCKED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "JTAGMODIFIED", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "JTAGMODIFIED", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MUXADDR", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 4, + "pins": [ + { + "name": "MUXADDR(4)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(3)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(2)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(1)", + "direction": "output", + "type": "none" + }, + { + "name": "MUXADDR(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "OT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OT", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "RAM64M8", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "ADDRA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRA(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRA(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRB(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRB(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRC(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRC(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRD(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRD(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRE(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRE(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRF(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRF(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRG(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRG(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDRH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 5, + "pins": [ + { + "name": "ADDRH(5)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(4)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(3)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(2)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(1)", + "direction": "input", + "type": "none" + }, + { + "name": "ADDRH(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIA", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIA", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIC", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIC", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DID", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DID", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIF", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIF", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIG", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIG", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DIH", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DIH", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WE", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DOA", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOA", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOB", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOB", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOC", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOC", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOD", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOD", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOF", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOF", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOG", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOG", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DOH", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DOH", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "STARTUPE3", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "DO", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DO(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DO(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DO(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DO(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DTS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DTS(3)", + "direction": "input", + "type": "none" + }, + { + "name": "DTS(2)", + "direction": "input", + "type": "none" + }, + { + "name": "DTS(1)", + "direction": "input", + "type": "none" + }, + { + "name": "DTS(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FCSBO", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FCSBO", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "FCSBTS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "FCSBTS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GSR", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GSR", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GTS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GTS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "KEYCLEARB", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "KEYCLEARB", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "PACK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PACK", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USRCCLKO", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USRCCLKO", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USRCCLKTS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USRCCLKTS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USRDONEO", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USRDONEO", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "USRDONETS", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USRDONETS", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CFGCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "CFGMCLK", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CFGMCLK", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "DI", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "DI(3)", + "direction": "output", + "type": "none" + }, + { + "name": "DI(2)", + "direction": "output", + "type": "none" + }, + { + "name": "DI(1)", + "direction": "output", + "type": "none" + }, + { + "name": "DI(0)", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "EOS", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "EOS", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "PREQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PREQ", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "OBUFT", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "T", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "T", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "LUT3", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "LUT3_D", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "lut" + } + ] + }, + { + "name": "LO", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LO", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "LUT3_L", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LO", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LO", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "I", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "I" + } + ] + } + ] + }, + { + "name": "MULT_AND", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "LO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LO", + "direction": "output", + "type": "none", + "function": "(I0 & I1)" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/example_library.hgl b/plugins/gate_libraries/definitions/example_library.hgl index ed1af26c8af..a3b4e5b0120 100644 --- a/plugins/gate_libraries/definitions/example_library.hgl +++ b/plugins/gate_libraries/definitions/example_library.hgl @@ -166,7 +166,7 @@ { "name": "I0", "direction": "input", - "type": "none" + "type": "data" } ] }, @@ -180,7 +180,7 @@ { "name": "I1", "direction": "input", - "type": "none" + "type": "data" } ] }, @@ -208,7 +208,7 @@ { "name": "O", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & I0) | (S & I1))" } ] diff --git a/plugins/gate_libraries/definitions/helper_libs/YosysHelperLib.v b/plugins/gate_libraries/definitions/helper_libs/YosysHelperLib.v new file mode 100644 index 00000000000..9a94d314c4d --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/YosysHelperLib.v @@ -0,0 +1,138 @@ +// GND +module GND (Y); +output Y; +assign Y = 1'b0; +endmodule + +// VCC +module VCC (Y); +output Y; +assign Y = 1'b1; +endmodule + +// BUF +module HAL_BUF (A, O); +input A; +output O; +assign O = A; +endmodule + +// INV +module HAL_INV (A, O); +input A; +output O; +assign O = ~A; +endmodule + +// AND2 +module HAL_AND2 (A, B, O); +input A, B; +output O; +assign O = A & B; +endmodule + +// AND3 +module HAL_AND3 (A, B, C, O); +input A, B, C; +output O; +assign O = A & B & C; +endmodule + +// AND4 +module HAL_AND4 (A, B, C, D, O); +input A, B, C, D; +output O; +assign O = A & B & C & D; +endmodule + +// OR2 +module HAL_OR2 (A, B, O); +input A, B; +output O; +assign O = A | B; +endmodule + +// OR3 +module HAL_OR3 (A, B, C, O); +input A, B, C; +output O; +assign O = A | B | C; +endmodule + +// OR4 +module HAL_OR4 (A, B, C, D, O); +input A, B, C, D; +output O; +assign O = A | B | C | D; +endmodule + +// XOR2 +module HAL_XOR2 (A, B, O); +input A, B; +output O; +assign O = A ^ B; +endmodule + +// XOR3 +module HAL_XOR3 (A, B, C, O); +input A, B, C; +output O; +assign O = A ^ B ^ C; +endmodule + +// XOR4 +module HAL_XOR4 (A, B, C, D, O); +input A, B, C, D; +output O; +assign O = A ^ B ^ C ^ D; +endmodule + +// XNOR2 +module HAL_XNOR2 (A, B, O); +input A, B; +output O; +assign O = (! (A ^ B)); +endmodule + +// XNOR3 +module HAL_XNOR3 (A, B, C, O); +input A, B, C; +output O; +assign O =(! (A ^ (B ^ C))); +endmodule + +// XNOR4 +module HAL_XNOR4 (A, B, C, D, O); +input A, B, C, D; +output O; +assign O = (! (A ^ (B ^ (C ^ D)))); +endmodule + +module HAL_MUX (A, B, S, O); +input A, B, S; +output O; +assign O = ((A & S) | (B & (! S))); +endmodule + +module HAL_MUX3 (A, B, C, S1, S2, O); +input A, B, C, S1, S2; +output O; +assign O = ((A & S1) | (!S1 & ((B & S2) | (C & !S2)))); +endmodule + +module HAL_MUX4 (A, B, C, D, S1, S2, O); +input A, B, C, D, S1, S2; +output O; +assign O = ((A & S1 & S2) | (B & S1 & !S2) | (C & !S1 & S2) | (D & !S1 & !S2)); +endmodule + + +// LATTICE + + +// SB_GB +module SB_GB (USER_SIGNAL_TO_GLOBAL_BUFFER, GLOBAL_BUFFER_OUTPUT); +input USER_SIGNAL_TO_GLOBAL_BUFFER; +output GLOBAL_BUFFER_OUTPUT; +assign GLOBAL_BUFFER_OUTPUT = USER_SIGNAL_TO_GLOBAL_BUFFER; +endmodule diff --git a/plugins/gate_libraries/definitions/helper_libs/aix_hal_i2.hgl b/plugins/gate_libraries/definitions/helper_libs/aix_hal_i2.hgl new file mode 100644 index 00000000000..4d666630565 --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/aix_hal_i2.hgl @@ -0,0 +1,191 @@ +{ + "version": 3, + "library": "basic_hal_i2", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_XOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B)" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/aixm_hal_i4.hgl b/plugins/gate_libraries/definitions/helper_libs/aixm_hal_i4.hgl new file mode 100644 index 00000000000..23259065ac0 --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/aixm_hal_i4.hgl @@ -0,0 +1,605 @@ +{ + "version": 3, + "library": "ixm_hal_i4", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_XOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B)" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + }, + { + "name": "HAL_MUX3", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1) | (!S1 & ((B & S2) | (C & !S2))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX4", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1 & S2) | (B & S1 & !S2) | (C & !S1 & S2) | (D & !S1 & !S2))" + } + ] + } + ] + }, + { + "name": "HAL_XOR3", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B ^ C)" + } + ] + } + ] + }, + { + "name": "HAL_XOR4", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B ^ C ^ D)" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/aoi_hal_i2.hgl b/plugins/gate_libraries/definitions/helper_libs/aoi_hal_i2.hgl new file mode 100644 index 00000000000..e6746ccd4d0 --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/aoi_hal_i2.hgl @@ -0,0 +1,191 @@ +{ + "version": 3, + "library": "basic_hal_i2", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_OR2", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | B)" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/aoim_hal_i2.hgl b/plugins/gate_libraries/definitions/helper_libs/aoim_hal_i2.hgl new file mode 100644 index 00000000000..851b4159cc1 --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/aoim_hal_i2.hgl @@ -0,0 +1,257 @@ +{ + "version": 3, + "library": "basic_hal_i2", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_OR2", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | B)" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/aoim_hal_i4.hgl b/plugins/gate_libraries/definitions/helper_libs/aoim_hal_i4.hgl new file mode 100644 index 00000000000..b5091e40bc3 --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/aoim_hal_i4.hgl @@ -0,0 +1,459 @@ +{ + "version": 3, + "library": "basic_hal_i4", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_OR2", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | B)" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + }, + { + "name": "HAL_MUX3", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1) | (!S1 & ((B & S2) | (C & !S2))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX4", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1 & S2) | (B & S1 & !S2) | (C & !S1 & S2) | (D & !S1 & !S2))" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/aoixm_hal_i2.hgl b/plugins/gate_libraries/definitions/helper_libs/aoixm_hal_i2.hgl new file mode 100644 index 00000000000..15a530c08ed --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/aoixm_hal_i2.hgl @@ -0,0 +1,309 @@ +{ + "version": 3, + "library": "basic_hal_i2", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_OR2", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | B)" + } + ] + } + ] + }, + { + "name": "HAL_XOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B)" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/aoixm_hal_i4.hgl b/plugins/gate_libraries/definitions/helper_libs/aoixm_hal_i4.hgl new file mode 100644 index 00000000000..f450fa78f7f --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/aoixm_hal_i4.hgl @@ -0,0 +1,1215 @@ +{ + "version": 3, + "library": "basic_hal_i4", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_OR2", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | B)" + } + ] + } + ] + }, + { + "name": "HAL_XOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B)" + } + ] + } + ] + }, + { + "name": "HAL_XOR3", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B ^ C)" + } + ] + } + ] + }, + { + "name": "HAL_XOR4", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B ^ C ^ D)" + } + ] + } + ] + }, + { + "name": "HAL_XNOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ B))" + } + ] + } + ] + }, + { + "name": "HAL_XNOR3", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ (B ^ C)))" + } + ] + } + ] + }, + { + "name": "HAL_XNOR4", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ (B ^ (C ^ D))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + }, + { + "name": "HAL_MUX3", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1) | (!S1 & ((B & S2) | (C & !S2))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX4", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1 & S2) | (B & S1 & !S2) | (C & !S1 & S2) | (D & !S1 & !S2))" + } + ] + } + ] + }, + { + "name": "HAL_MUX5", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E))"}] + } + ] + }, + { + "name": "HAL_MUX6", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F))"}] + } + ] + }, + { + "name": "HAL_MUX7", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "G", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "G", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F) | (!S1 & S2 & S3 & G))"}] + } + ] + }, + { + "name": "HAL_MUX8", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "G", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "G", "direction": "input", "type": "data"}] + }, + { + "name": "H", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "H", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F) | (!S1 & S2 & S3 & G) | (S1 & S2 & S3 & H))"}] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/aoixm_hal_i4_crazy.hgl b/plugins/gate_libraries/definitions/helper_libs/aoixm_hal_i4_crazy.hgl new file mode 100644 index 00000000000..6ce6754f7ca --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/aoixm_hal_i4_crazy.hgl @@ -0,0 +1,643 @@ +{ + "version": 3, + "library": "basic_hal_i4", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_OR2", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | B)" + } + ] + } + ] + }, + { + "name": "HAL_XOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B)" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + }, + { + "name": "HAL_MUX3", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1) | (!S1 & ((B & S2) | (C & !S2))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX4", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1 & S2) | (B & S1 & !S2) | (C & !S1 & S2) | (D & !S1 & !S2))" + } + ] + } + ] + }, + { + "name": "CARRY", + "types": [ + "combinational", + "c_carry" + ], + "pin_groups": [ + { + "name": "CI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CO", + "direction": "output", + "type": "none", + "function": "((I0 & I1) | ((I0 | I1) & CI))" + } + ] + } + ] + }, + { + "name": "HAL_XOR3", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B ^ C)" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/basic_hal.hgl b/plugins/gate_libraries/definitions/helper_libs/basic_hal.hgl new file mode 100644 index 00000000000..c1448f6b3c8 --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/basic_hal.hgl @@ -0,0 +1,1543 @@ +{ + "version": 3, + "library": "basic_hal", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_AND3", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & (B & C))" + } + ] + } + ] + }, + { + "name": "HAL_AND4", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & (B & (C & D)))" + } + ] + } + ] + }, + { + "name": "HAL_OR2", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | B)" + } + ] + } + ] + }, + { + "name": "HAL_OR3", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | (B | C))" + } + ] + } + ] + }, + { + "name": "HAL_OR4", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | (B | (C | D)))" + } + ] + } + ] + }, + { + "name": "HAL_NAND2", + "types": [ + "combinational", + "c_nand" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A & B))" + } + ] + } + ] + }, + { + "name": "HAL_NAND3", + "types": [ + "combinational", + "c_nand" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A & (B & C)))" + } + ] + } + ] + }, + { + "name": "HAL_NAND4", + "types": [ + "combinational", + "c_nand" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A & (B & (C & D))))" + } + ] + } + ] + }, + { + "name": "HAL_NOR2", + "types": [ + "combinational", + "c_nor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A | B))" + } + ] + } + ] + }, + { + "name": "HAL_NOR3", + "types": [ + "combinational", + "c_nor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A | (B | C)))" + } + ] + } + ] + }, + { + "name": "HAL_NOR4", + "types": [ + "combinational", + "c_nor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A | (B | (C | D))))" + } + ] + } + ] + }, + { + "name": "HAL_XOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B)" + } + ] + } + ] + }, + { + "name": "HAL_XOR3", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ (B ^ C))" + } + ] + } + ] + }, + { + "name": "HAL_XOR4", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ (B ^ (C ^ D)))" + } + ] + } + ] + }, + { + "name": "HAL_XNOR2", + "types": [ + "combinational", + "c_xnor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ B))" + } + ] + } + ] + }, + { + "name": "HAL_XNOR3", + "types": [ + "combinational", + "c_xnor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ (B ^ C)))" + } + ] + } + ] + }, + { + "name": "HAL_XNOR4", + "types": [ + "combinational", + "c_xnor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ (B ^ (C ^ D))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + }, + { + "name": "HAL_MUX3", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1) | (!S1 & ((B & S2) | (C & !S2))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX4", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1 & S2) | (B & S1 & !S2) | (C & !S1 & S2) | (D & !S1 & !S2))" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/basic_hal_i2.hgl b/plugins/gate_libraries/definitions/helper_libs/basic_hal_i2.hgl new file mode 100644 index 00000000000..37510810a80 --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/basic_hal_i2.hgl @@ -0,0 +1,667 @@ +{ + "version": 3, + "library": "basic_hal_i2", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_OR2", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | B)" + } + ] + } + ] + }, + { + "name": "HAL_NAND2", + "types": [ + "combinational", + "c_nand" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A & B))" + } + ] + } + ] + }, + { + "name": "HAL_NOR2", + "types": [ + "combinational", + "c_nor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A | B))" + } + ] + } + ] + }, + { + "name": "HAL_XOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B)" + } + ] + } + ] + }, + { + "name": "HAL_XNOR2", + "types": [ + "combinational", + "c_xnor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ B))" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + }, + { + "name": "HAL_MUX3", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1) | (!S1 & ((B & S2) | (C & !S2))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX4", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1 & S2) | (B & S1 & !S2) | (C & !S1 & S2) | (D & !S1 & !S2))" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/im_hal_i4.hgl b/plugins/gate_libraries/definitions/helper_libs/im_hal_i4.hgl new file mode 100644 index 00000000000..2ce53d54519 --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/im_hal_i4.hgl @@ -0,0 +1,407 @@ +{ + "version": 3, + "library": "basic_hal_i4", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + }, + { + "name": "HAL_MUX3", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1) | (!S1 & ((B & S2) | (C & !S2))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX4", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1 & S2) | (B & S1 & !S2) | (C & !S1 & S2) | (D & !S1 & !S2))" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/helper_libs/ixm_hal_i4.hgl b/plugins/gate_libraries/definitions/helper_libs/ixm_hal_i4.hgl new file mode 100644 index 00000000000..a7f64743ecc --- /dev/null +++ b/plugins/gate_libraries/definitions/helper_libs/ixm_hal_i4.hgl @@ -0,0 +1,913 @@ +{ + "version": 3, + "library": "ixm_hal_i4", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_XOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B)" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + }, + { + "name": "HAL_MUX3", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1) | (!S1 & ((B & S2) | (C & !S2))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX4", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1 & S2) | (B & S1 & !S2) | (C & !S1 & S2) | (D & !S1 & !S2))" + } + ] + } + ] + }, + { + "name": "HAL_XOR3", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B ^ C)" + } + ] + } + ] + }, + { + "name": "HAL_XOR4", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B ^ C ^ D)" + } + ] + } + ] + }, + { + "name": "HAL_MUX5", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E))"}] + } + ] + }, + { + "name": "HAL_MUX6", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F))"}] + } + ] + }, + { + "name": "HAL_MUX7", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "G", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "G", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F) | (!S1 & S2 & S3 & G))"}] + } + ] + }, + { + "name": "HAL_MUX8", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "G", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "G", "direction": "input", "type": "data"}] + }, + { + "name": "H", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "H", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F) | (!S1 & S2 & S3 & G) | (S1 & S2 & S3 & H))"}] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/ice40ultra_hal.hgl b/plugins/gate_libraries/definitions/ice40ultra_hal.hgl new file mode 100644 index 00000000000..cd8ed31bcaf --- /dev/null +++ b/plugins/gate_libraries/definitions/ice40ultra_hal.hgl @@ -0,0 +1,8477 @@ +{ + "version": 3, + "library": "ICE40ULTRA_WITH_HAL_TYPES", + "gate_locations": { + "data_category": "generic", + "data_x_identifier": "X_COORDINATE", + "data_y_identifier": "Y_COORDINATE" + }, + "cells": [ + { + "name": "HAL_BUF", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "A" + } + ] + } + ] + }, + { + "name": "HAL_INV", + "types": [ + "combinational", + "c_inverter" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! A)" + } + ] + } + ] + }, + { + "name": "HAL_AND2", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & B)" + } + ] + } + ] + }, + { + "name": "HAL_AND3", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & (B & C))" + } + ] + } + ] + }, + { + "name": "HAL_AND4", + "types": [ + "combinational", + "c_and" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A & (B & (C & D)))" + } + ] + } + ] + }, + { + "name": "HAL_OR2", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | B)" + } + ] + } + ] + }, + { + "name": "HAL_OR3", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | (B | C))" + } + ] + } + ] + }, + { + "name": "HAL_OR4", + "types": [ + "combinational", + "c_or" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A | (B | (C | D)))" + } + ] + } + ] + }, + { + "name": "HAL_NAND2", + "types": [ + "combinational", + "c_nand" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A & B))" + } + ] + } + ] + }, + { + "name": "HAL_NAND3", + "types": [ + "combinational", + "c_nand" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A & (B & C)))" + } + ] + } + ] + }, + { + "name": "HAL_NAND4", + "types": [ + "combinational", + "c_nand" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A & (B & (C & D))))" + } + ] + } + ] + }, + { + "name": "HAL_NOR2", + "types": [ + "combinational", + "c_nor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A | B))" + } + ] + } + ] + }, + { + "name": "HAL_NOR3", + "types": [ + "combinational", + "c_nor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A | (B | C)))" + } + ] + } + ] + }, + { + "name": "HAL_NOR4", + "types": [ + "combinational", + "c_nor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A | (B | (C | D))))" + } + ] + } + ] + }, + { + "name": "HAL_XOR2", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ B)" + } + ] + } + ] + }, + { + "name": "HAL_XOR3", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ (B ^ C))" + } + ] + } + ] + }, + { + "name": "HAL_XOR4", + "types": [ + "combinational", + "c_xor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(A ^ (B ^ (C ^ D)))" + } + ] + } + ] + }, + { + "name": "HAL_XNOR2", + "types": [ + "combinational", + "c_xnor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ B))" + } + ] + } + ] + }, + { + "name": "HAL_XNOR3", + "types": [ + "combinational", + "c_xnor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ (B ^ C)))" + } + ] + } + ] + }, + { + "name": "HAL_XNOR4", + "types": [ + "combinational", + "c_xnor" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "(! (A ^ (B ^ (C ^ D))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S) | (B & (! S)))" + } + ] + } + ] + }, + { + "name": "HAL_MUX3", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & S1) | ((! S1) & ((B & S2) | (C & (! S2)))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX4", + "types": [ + "combinational", + "c_mux" + ], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "A", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "B", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S1", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S2", + "direction": "input", + "type": "select" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "none", + "function": "((A & (S1 & S2)) | ((B & (S1 & (! S2))) | ((C & ((! S1) & S2)) | (D & ((! S1) & (! S2))))))" + } + ] + } + ] + }, + { + "name": "HAL_MUX5", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E))"}] + } + ] + }, + { + "name": "HAL_MUX6", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F))"}] + } + ] + }, + { + "name": "HAL_MUX7", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "G", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "G", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F) | (!S1 & S2 & S3 & G))"}] + } + ] + }, + { + "name": "HAL_MUX8", + "types": ["combinational", "c_mux"], + "pin_groups": [ + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "A", "direction": "input", "type": "data"}] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "B", "direction": "input", "type": "data"}] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "C", "direction": "input", "type": "data"}] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "D", "direction": "input", "type": "data"}] + }, + { + "name": "E", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "E", "direction": "input", "type": "data"}] + }, + { + "name": "F", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "F", "direction": "input", "type": "data"}] + }, + { + "name": "G", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "G", "direction": "input", "type": "data"}] + }, + { + "name": "H", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [{"name": "H", "direction": "input", "type": "data"}] + }, + { + "name": "S1", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S1", "direction": "input", "type": "select"}] + }, + { + "name": "S2", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S2", "direction": "input", "type": "select"}] + }, + { + "name": "S3", + "direction": "input", + "type": "select", + "ascending": false, + "start_index": 0, + "pins": [{"name": "S3", "direction": "input", "type": "select"}] + }, + { + "name": "O", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [{"name": "O", "direction": "output", "type": "none", "function": "((!S1 & !S2 & !S3 & A) | (S1 & !S2 & !S3 & B) | (!S1 & S2 & !S3 & C) | (!S1 & !S2 & S3 & D) | (S1 & S2 & !S3 & E) | (S1 & !S2 & S3 & F) | (!S1 & S2 & S3 & G) | (S1 & S2 & S3 & H))"}] + } + ] + }, + { + "name": "SB_CARRY", + "types": [ + "combinational", + "c_carry" + ], + "pin_groups": [ + { + "name": "CI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CO", + "direction": "output", + "type": "none", + "function": "((I0 & I1) | ((I0 | I1) & CI))" + } + ] + } + ] + }, + { + "name": "SB_DFF", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "D", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFE", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((E & D) | ((! E) & IQ))", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "E", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "E", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFSR", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "(D & (! R))", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFR", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "D", + "clocked_on": "C", + "clear_on": "R" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFSS", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "(D | S)", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "set", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "set" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFS", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "D", + "clocked_on": "C", + "preset_on": "S" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "set", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "set" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFESR", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "(((! R) & (E & D)) | ((! R) & ((! E) & IQ)))", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "E", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "E", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFER", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((E & D) | ((! E) & IQ))", + "clocked_on": "C", + "clear_on": "R" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "E", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "E", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFESS", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((E & D) | (((! E) & IQ) | S))", + "clocked_on": "C" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "set", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "set" + } + ] + }, + { + "name": "E", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "E", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFES", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((E & D) | ((! E) & IQ))", + "clocked_on": "C", + "preset_on": "S" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "set", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "set" + } + ] + }, + { + "name": "E", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "E", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFN", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "D", + "clocked_on": "(! C)" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFNE", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((E & D) | ((! E) & IQ))", + "clocked_on": "(! C)" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "E", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "E", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFNSR", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "(D & (! R))", + "clocked_on": "(! C)" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFNR", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "D", + "clocked_on": "(! C)", + "clear_on": "R" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFNSS", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "(D | S)", + "clocked_on": "(! C)" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "set", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "set" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFNS", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "D", + "clocked_on": "(! C)", + "preset_on": "S" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "set", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "set" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFNESR", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "(((! R) & (E & D)) | ((! R) & ((! E) & IQ)))", + "clocked_on": "(! C)" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFNER", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((E & D) | ((! E) & IQ))", + "clocked_on": "(! C)", + "clear_on": "R" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "R", + "direction": "input", + "type": "reset", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "R", + "direction": "input", + "type": "reset" + } + ] + }, + { + "name": "E", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "E", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFNESS", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((E & D) | (((! E) & IQ) | S))", + "clocked_on": "(! C)" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "set", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "set" + } + ] + }, + { + "name": "E", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "E", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_DFFNES", + "types": [ + "sequential", + "ff" + ], + "ff_config": { + "state": "IQ", + "neg_state": "IQN", + "data_category": "generic", + "data_identifier": "INIT", + "next_state": "((E & D) | ((! E) & IQ))", + "clocked_on": "(! C)", + "preset_on": "S" + }, + "pin_groups": [ + { + "name": "C", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "C", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "S", + "direction": "input", + "type": "set", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "S", + "direction": "input", + "type": "set" + } + ] + }, + { + "name": "E", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "E", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "Q", + "direction": "output", + "type": "state", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Q", + "direction": "output", + "type": "state", + "function": "IQ" + } + ] + } + ] + }, + { + "name": "SB_LUT4", + "types": [ + "combinational", + "c_lut" + ], + "lut_config": { + "bit_order": "descending", + "data_category": "generic", + "data_identifier": "LUT_INIT" + }, + "pin_groups": [ + { + "name": "I0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "I3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "lut", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "O", + "direction": "output", + "type": "lut" + } + ] + } + ] + }, + { + "name": "SB_RAM40_4K", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "WE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "WCLKE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLKE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RCLKE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLKE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RCLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "WADDR", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "WADDR(10)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(9)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(8)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(7)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(6)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(5)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(4)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(3)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(2)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(1)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "RADDR", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "RADDR(10)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(9)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(8)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(7)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(6)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(5)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(4)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(3)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(2)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(1)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "MASK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MASK(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WDATA", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "WDATA(15)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(14)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(13)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(12)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(11)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(10)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(9)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(8)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(7)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(6)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(5)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(4)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(3)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(2)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(1)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(0)", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "RDATA", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RDATA(15)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(14)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(13)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(12)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(11)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(10)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(9)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(8)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(7)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(6)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(5)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(4)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(3)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(2)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(1)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(0)", + "direction": "output", + "type": "data" + } + ] + } + ] + }, + { + "name": "SB_SPRAM256KA", + "types": [ + "sequential", + "ram" + ], + "pin_groups": [ + { + "name": "WREN", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WREN", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "CLOCK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLOCK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "CHIPSELECT", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CHIPSELECT", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "STANDBY", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "STANDBY", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "SLEEP", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SLEEP", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "POWEROFF", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "POWEROFF", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "ADDRESS", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 13, + "pins": [ + { + "name": "ADDRESS(13)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(12)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(11)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(10)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(9)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(8)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(7)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(6)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(5)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(4)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(3)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(2)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(1)", + "direction": "input", + "type": "address" + }, + { + "name": "ADDRESS(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "MASKWREN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "MASKWREN(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MASKWREN(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MASKWREN(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MASKWREN(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DATAIN", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DATAIN(15)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(14)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(13)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(12)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(11)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(10)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(9)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(8)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(7)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(6)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(5)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(4)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(3)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(2)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(1)", + "direction": "input", + "type": "data" + }, + { + "name": "DATAIN(0)", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "DATAOUT", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "DATAOUT(15)", + "direction": "output", + "type": "data", + "function": "DATAOUT(15)" + }, + { + "name": "DATAOUT(14)", + "direction": "output", + "type": "data", + "function": "DATAOUT(14)" + }, + { + "name": "DATAOUT(13)", + "direction": "output", + "type": "data", + "function": "DATAOUT(13)" + }, + { + "name": "DATAOUT(12)", + "direction": "output", + "type": "data", + "function": "DATAOUT(12)" + }, + { + "name": "DATAOUT(11)", + "direction": "output", + "type": "data", + "function": "DATAOUT(11)" + }, + { + "name": "DATAOUT(10)", + "direction": "output", + "type": "data", + "function": "DATAOUT(10)" + }, + { + "name": "DATAOUT(9)", + "direction": "output", + "type": "data", + "function": "DATAOUT(9)" + }, + { + "name": "DATAOUT(8)", + "direction": "output", + "type": "data", + "function": "DATAOUT(8)" + }, + { + "name": "DATAOUT(7)", + "direction": "output", + "type": "data", + "function": "DATAOUT(7)" + }, + { + "name": "DATAOUT(6)", + "direction": "output", + "type": "data", + "function": "DATAOUT(6)" + }, + { + "name": "DATAOUT(5)", + "direction": "output", + "type": "data", + "function": "DATAOUT(5)" + }, + { + "name": "DATAOUT(4)", + "direction": "output", + "type": "data", + "function": "DATAOUT(4)" + }, + { + "name": "DATAOUT(3)", + "direction": "output", + "type": "data", + "function": "DATAOUT(3)" + }, + { + "name": "DATAOUT(2)", + "direction": "output", + "type": "data", + "function": "DATAOUT(2)" + }, + { + "name": "DATAOUT(1)", + "direction": "output", + "type": "data", + "function": "DATAOUT(1)" + }, + { + "name": "DATAOUT(0)", + "direction": "output", + "type": "data", + "function": "DATAOUT(0)" + } + ] + } + ] + }, + { + "name": "SB_RAM256x16", + "types": [ + "sequential", + "ram" + ], + "ram_config": { + "data_category": "generic", + "data_identifiers": [ + "INIT_0", + "INIT_1", + "INIT_2", + "INIT_3", + "INIT_4", + "INIT_5", + "INIT_6", + "INIT_7", + "INIT_8", + "INIT_9", + "INIT_A", + "INIT_B", + "INIT_C", + "INIT_D", + "INIT_E", + "INIT_F" + ], + "bit_size": 4096, + "ram_ports": [ + { + "data_group": "WDATA", + "address_group": "WADDR", + "clocked_on": "(WCLK & WCLKE)", + "enabled_on": "WE", + "is_write": true + }, + { + "data_group": "RDATA", + "address_group": "RADDR", + "clocked_on": "(RCLK & RCLKE)", + "enabled_on": "RE", + "is_write": false + } + ] + }, + "pin_groups": [ + { + "name": "WE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "WCLKE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLKE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RCLKE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLKE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RCLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "WADDR", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "WADDR(7)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(6)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(5)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(4)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(3)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(2)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(1)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "RADDR", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RADDR(7)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(6)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(5)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(4)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(3)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(2)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(1)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "MASK", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "MASK(15)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(14)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(13)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(12)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(11)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(10)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(9)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(8)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(7)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(6)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(5)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(4)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(3)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(2)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(1)", + "direction": "input", + "type": "none" + }, + { + "name": "MASK(0)", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "WDATA", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "WDATA(15)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(14)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(13)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(12)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(11)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(10)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(9)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(8)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(7)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(6)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(5)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(4)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(3)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(2)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(1)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(0)", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "RDATA", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "RDATA(15)", + "direction": "output", + "type": "data", + "function": "(RDATA(15) & (! MASK(15)))" + }, + { + "name": "RDATA(14)", + "direction": "output", + "type": "data", + "function": "(RDATA(14) & (! MASK(14)))" + }, + { + "name": "RDATA(13)", + "direction": "output", + "type": "data", + "function": "(RDATA(13) & (! MASK(13)))" + }, + { + "name": "RDATA(12)", + "direction": "output", + "type": "data", + "function": "(RDATA(12) & (! MASK(12)))" + }, + { + "name": "RDATA(11)", + "direction": "output", + "type": "data", + "function": "(RDATA(11) & (! MASK(11)))" + }, + { + "name": "RDATA(10)", + "direction": "output", + "type": "data", + "function": "(RDATA(10) & (! MASK(10)))" + }, + { + "name": "RDATA(9)", + "direction": "output", + "type": "data", + "function": "(RDATA(9) & (! MASK(9)))" + }, + { + "name": "RDATA(8)", + "direction": "output", + "type": "data", + "function": "(RDATA(8) & (! MASK(8)))" + }, + { + "name": "RDATA(7)", + "direction": "output", + "type": "data", + "function": "(RDATA(7) & (! MASK(7)))" + }, + { + "name": "RDATA(6)", + "direction": "output", + "type": "data", + "function": "(RDATA(6) & (! MASK(6)))" + }, + { + "name": "RDATA(5)", + "direction": "output", + "type": "data", + "function": "(RDATA(5) & (! MASK(5)))" + }, + { + "name": "RDATA(4)", + "direction": "output", + "type": "data", + "function": "(RDATA(4) & (! MASK(4)))" + }, + { + "name": "RDATA(3)", + "direction": "output", + "type": "data", + "function": "(RDATA(3) & (! MASK(3)))" + }, + { + "name": "RDATA(2)", + "direction": "output", + "type": "data", + "function": "(RDATA(2) & (! MASK(2)))" + }, + { + "name": "RDATA(1)", + "direction": "output", + "type": "data", + "function": "(RDATA(1) & (! MASK(1)))" + }, + { + "name": "RDATA(0)", + "direction": "output", + "type": "data", + "function": "(RDATA(0) & (! MASK(0)))" + } + ] + } + ] + }, + { + "name": "SB_RAM512x8", + "types": [ + "sequential", + "ram" + ], + "ram_config": { + "data_category": "generic", + "data_identifiers": [ + "INIT_0", + "INIT_1", + "INIT_2", + "INIT_3", + "INIT_4", + "INIT_5", + "INIT_6", + "INIT_7", + "INIT_8", + "INIT_9", + "INIT_A", + "INIT_B", + "INIT_C", + "INIT_D", + "INIT_E", + "INIT_F" + ], + "bit_size": 4096, + "ram_ports": [ + { + "data_group": "WDATA", + "address_group": "WADDR", + "clocked_on": "(WCLK & WCLKE)", + "enabled_on": "WE", + "is_write": true + }, + { + "data_group": "RDATA", + "address_group": "RADDR", + "clocked_on": "(RCLK & RCLKE)", + "enabled_on": "RE", + "is_write": false + } + ] + }, + "pin_groups": [ + { + "name": "WE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "WCLKE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLKE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RCLKE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLKE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RCLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "WADDR", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "WADDR(8)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(7)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(6)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(5)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(4)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(3)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(2)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(1)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "RADDR", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 8, + "pins": [ + { + "name": "RADDR(8)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(7)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(6)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(5)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(4)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(3)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(2)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(1)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "WDATA", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "WDATA(7)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(6)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(5)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(4)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(3)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(2)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(1)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(0)", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "RDATA", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 7, + "pins": [ + { + "name": "RDATA(7)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(6)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(5)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(4)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(3)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(2)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(1)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(0)", + "direction": "output", + "type": "data" + } + ] + } + ] + }, + { + "name": "SB_RAM1024x4", + "types": [ + "sequential", + "ram" + ], + "ram_config": { + "data_category": "generic", + "data_identifiers": [ + "INIT_0", + "INIT_1", + "INIT_2", + "INIT_3", + "INIT_4", + "INIT_5", + "INIT_6", + "INIT_7", + "INIT_8", + "INIT_9", + "INIT_A", + "INIT_B", + "INIT_C", + "INIT_D", + "INIT_E", + "INIT_F" + ], + "bit_size": 4096, + "ram_ports": [ + { + "data_group": "WDATA", + "address_group": "WADDR", + "clocked_on": "(WCLK & WCLKE)", + "enabled_on": "WE", + "is_write": true + }, + { + "data_group": "RDATA", + "address_group": "RADDR", + "clocked_on": "(RCLK & RCLKE)", + "enabled_on": "RE", + "is_write": false + } + ] + }, + "pin_groups": [ + { + "name": "WE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "WCLKE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLKE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RCLKE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLKE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RCLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "WADDR", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "WADDR(9)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(8)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(7)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(6)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(5)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(4)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(3)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(2)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(1)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "RADDR", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 9, + "pins": [ + { + "name": "RADDR(9)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(8)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(7)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(6)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(5)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(4)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(3)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(2)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(1)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "WDATA", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "WDATA(3)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(2)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(1)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(0)", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "RDATA", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 3, + "pins": [ + { + "name": "RDATA(3)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(2)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(1)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(0)", + "direction": "output", + "type": "data" + } + ] + } + ] + }, + { + "name": "SB_RAM2048x2", + "types": [ + "sequential", + "ram" + ], + "ram_config": { + "data_category": "generic", + "data_identifiers": [ + "INIT_0", + "INIT_1", + "INIT_2", + "INIT_3", + "INIT_4", + "INIT_5", + "INIT_6", + "INIT_7", + "INIT_8", + "INIT_9", + "INIT_A", + "INIT_B", + "INIT_C", + "INIT_D", + "INIT_E", + "INIT_F" + ], + "bit_size": 4096, + "ram_ports": [ + { + "data_group": "WDATA", + "address_group": "WADDR", + "clocked_on": "(WCLK & WCLKE)", + "enabled_on": "WE", + "is_write": true + }, + { + "data_group": "RDATA", + "address_group": "RADDR", + "clocked_on": "(RCLK & RCLKE)", + "enabled_on": "RE", + "is_write": false + } + ] + }, + "pin_groups": [ + { + "name": "WE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "WCLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "WCLKE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "WCLKE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RCLKE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLKE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "RCLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "RCLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "WADDR", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "WADDR(10)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(9)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(8)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(7)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(6)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(5)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(4)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(3)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(2)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(1)", + "direction": "input", + "type": "address" + }, + { + "name": "WADDR(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "RADDR", + "direction": "input", + "type": "address", + "ascending": false, + "start_index": 10, + "pins": [ + { + "name": "RADDR(10)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(9)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(8)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(7)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(6)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(5)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(4)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(3)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(2)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(1)", + "direction": "input", + "type": "address" + }, + { + "name": "RADDR(0)", + "direction": "input", + "type": "address" + } + ] + }, + { + "name": "WDATA", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "WDATA(1)", + "direction": "input", + "type": "data" + }, + { + "name": "WDATA(0)", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "RDATA", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 1, + "pins": [ + { + "name": "RDATA(1)", + "direction": "output", + "type": "data" + }, + { + "name": "RDATA(0)", + "direction": "output", + "type": "data" + } + ] + } + ] + }, + { + "name": "SB_IO", + "types": [ + "io" + ], + "pin_groups": [ + { + "name": "PACKAGE_PIN", + "direction": "inout", + "type": "io_pad", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PACKAGE_PIN", + "direction": "inout", + "type": "io_pad" + } + ] + }, + { + "name": "LATCH_INPUT_VALUE", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LATCH_INPUT_VALUE", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "CLOCK_ENABLE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLOCK_ENABLE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "INPUT_CLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INPUT_CLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "OUTPUT_CLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OUTPUT_CLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "OUTPUT_ENABLE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OUTPUT_ENABLE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D_OUT_0", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D_OUT_0", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D_OUT_1", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D_OUT_1", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D_IN_0", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D_IN_0", + "direction": "output", + "type": "data" + } + ] + }, + { + "name": "D_IN_1", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D_IN_1", + "direction": "output", + "type": "data" + } + ] + } + ] + }, + { + "name": "SB_GB_IO", + "types": [ + "io" + ], + "pin_groups": [ + { + "name": "PACKAGE_PIN", + "direction": "inout", + "type": "io_pad", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "PACKAGE_PIN", + "direction": "inout", + "type": "io_pad" + } + ] + }, + { + "name": "LATCH_INPUT_VALUE", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "LATCH_INPUT_VALUE", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "CLOCK_ENABLE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLOCK_ENABLE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "INPUT_CLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "INPUT_CLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "OUTPUT_CLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OUTPUT_CLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "OUTPUT_ENABLE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OUTPUT_ENABLE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "D_OUT_0", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D_OUT_0", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D_OUT_1", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D_OUT_1", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D_IN_0", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D_IN_0", + "direction": "output", + "type": "data" + } + ] + }, + { + "name": "D_IN_1", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "D_IN_1", + "direction": "output", + "type": "data" + } + ] + }, + { + "name": "GLOBAL_BUFFER_OUTPUT", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GLOBAL_BUFFER_OUTPUT", + "direction": "output", + "type": "data" + } + ] + } + ] + }, + { + "name": "SB_GB", + "types": [ + "combinational", + "c_buffer" + ], + "pin_groups": [ + { + "name": "USER_SIGNAL_TO_GLOBAL_BUFFER", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "USER_SIGNAL_TO_GLOBAL_BUFFER", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "GLOBAL_BUFFER_OUTPUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "GLOBAL_BUFFER_OUTPUT", + "direction": "output", + "type": "none", + "function": "USER_SIGNAL_TO_GLOBAL_BUFFER" + } + ] + } + ] + }, + { + "name": "SB_HFOSC", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKHFEN", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKHFEN", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "CLKHFPU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKHFPU", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKHF", + "direction": "output", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKHF", + "direction": "output", + "type": "clock" + } + ] + } + ] + }, + { + "name": "SB_LFOSC", + "types": [ + "combinational" + ], + "pin_groups": [ + { + "name": "CLKLFEN", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKLFEN", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "CLKLFPU", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKLFPU", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CLKLF", + "direction": "output", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLKLF", + "direction": "output", + "type": "clock" + } + ] + } + ] + }, + { + "name": "SB_MAC16", + "types": [ + "sequential", + "dsp" + ], + "pin_groups": [ + { + "name": "CLK", + "direction": "input", + "type": "clock", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CLK", + "direction": "input", + "type": "clock" + } + ] + }, + { + "name": "CE", + "direction": "input", + "type": "enable", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CE", + "direction": "input", + "type": "enable" + } + ] + }, + { + "name": "IRSTTOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IRSTTOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "IRSTBOT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "IRSTBOT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ORSTTOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ORSTTOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ORSTBOT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ORSTBOT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "AHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "AHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "BHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "BHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "DHOLD", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "DHOLD", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OHOLDTOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OHOLDTOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OHOLDBOT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OHOLDBOT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OLOADTOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OLOADTOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "OLOADBOT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "OLOADBOT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDSUBTOP", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADDSUBTOP", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ADDSUBBOT", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ADDSUBBOT", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "CO", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CO", + "direction": "output", + "type": "data" + } + ] + }, + { + "name": "CI", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "CI", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "ACCUMCI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ACCUMCI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "ACCUMCO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "ACCUMCO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SIGNEXTIN", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SIGNEXTIN", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SIGNEXTOUT", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SIGNEXTOUT", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "A", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "A(15)", + "direction": "input", + "type": "data" + }, + { + "name": "A(14)", + "direction": "input", + "type": "data" + }, + { + "name": "A(13)", + "direction": "input", + "type": "data" + }, + { + "name": "A(12)", + "direction": "input", + "type": "data" + }, + { + "name": "A(11)", + "direction": "input", + "type": "data" + }, + { + "name": "A(10)", + "direction": "input", + "type": "data" + }, + { + "name": "A(9)", + "direction": "input", + "type": "data" + }, + { + "name": "A(8)", + "direction": "input", + "type": "data" + }, + { + "name": "A(7)", + "direction": "input", + "type": "data" + }, + { + "name": "A(6)", + "direction": "input", + "type": "data" + }, + { + "name": "A(5)", + "direction": "input", + "type": "data" + }, + { + "name": "A(4)", + "direction": "input", + "type": "data" + }, + { + "name": "A(3)", + "direction": "input", + "type": "data" + }, + { + "name": "A(2)", + "direction": "input", + "type": "data" + }, + { + "name": "A(1)", + "direction": "input", + "type": "data" + }, + { + "name": "A(0)", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "B", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "B(15)", + "direction": "input", + "type": "data" + }, + { + "name": "B(14)", + "direction": "input", + "type": "data" + }, + { + "name": "B(13)", + "direction": "input", + "type": "data" + }, + { + "name": "B(12)", + "direction": "input", + "type": "data" + }, + { + "name": "B(11)", + "direction": "input", + "type": "data" + }, + { + "name": "B(10)", + "direction": "input", + "type": "data" + }, + { + "name": "B(9)", + "direction": "input", + "type": "data" + }, + { + "name": "B(8)", + "direction": "input", + "type": "data" + }, + { + "name": "B(7)", + "direction": "input", + "type": "data" + }, + { + "name": "B(6)", + "direction": "input", + "type": "data" + }, + { + "name": "B(5)", + "direction": "input", + "type": "data" + }, + { + "name": "B(4)", + "direction": "input", + "type": "data" + }, + { + "name": "B(3)", + "direction": "input", + "type": "data" + }, + { + "name": "B(2)", + "direction": "input", + "type": "data" + }, + { + "name": "B(1)", + "direction": "input", + "type": "data" + }, + { + "name": "B(0)", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "C", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "C(15)", + "direction": "input", + "type": "data" + }, + { + "name": "C(14)", + "direction": "input", + "type": "data" + }, + { + "name": "C(13)", + "direction": "input", + "type": "data" + }, + { + "name": "C(12)", + "direction": "input", + "type": "data" + }, + { + "name": "C(11)", + "direction": "input", + "type": "data" + }, + { + "name": "C(10)", + "direction": "input", + "type": "data" + }, + { + "name": "C(9)", + "direction": "input", + "type": "data" + }, + { + "name": "C(8)", + "direction": "input", + "type": "data" + }, + { + "name": "C(7)", + "direction": "input", + "type": "data" + }, + { + "name": "C(6)", + "direction": "input", + "type": "data" + }, + { + "name": "C(5)", + "direction": "input", + "type": "data" + }, + { + "name": "C(4)", + "direction": "input", + "type": "data" + }, + { + "name": "C(3)", + "direction": "input", + "type": "data" + }, + { + "name": "C(2)", + "direction": "input", + "type": "data" + }, + { + "name": "C(1)", + "direction": "input", + "type": "data" + }, + { + "name": "C(0)", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "D", + "direction": "input", + "type": "data", + "ascending": false, + "start_index": 15, + "pins": [ + { + "name": "D(15)", + "direction": "input", + "type": "data" + }, + { + "name": "D(14)", + "direction": "input", + "type": "data" + }, + { + "name": "D(13)", + "direction": "input", + "type": "data" + }, + { + "name": "D(12)", + "direction": "input", + "type": "data" + }, + { + "name": "D(11)", + "direction": "input", + "type": "data" + }, + { + "name": "D(10)", + "direction": "input", + "type": "data" + }, + { + "name": "D(9)", + "direction": "input", + "type": "data" + }, + { + "name": "D(8)", + "direction": "input", + "type": "data" + }, + { + "name": "D(7)", + "direction": "input", + "type": "data" + }, + { + "name": "D(6)", + "direction": "input", + "type": "data" + }, + { + "name": "D(5)", + "direction": "input", + "type": "data" + }, + { + "name": "D(4)", + "direction": "input", + "type": "data" + }, + { + "name": "D(3)", + "direction": "input", + "type": "data" + }, + { + "name": "D(2)", + "direction": "input", + "type": "data" + }, + { + "name": "D(1)", + "direction": "input", + "type": "data" + }, + { + "name": "D(0)", + "direction": "input", + "type": "data" + } + ] + }, + { + "name": "O", + "direction": "output", + "type": "data", + "ascending": false, + "start_index": 31, + "pins": [ + { + "name": "O(31)", + "direction": "output", + "type": "data" + }, + { + "name": "O(30)", + "direction": "output", + "type": "data" + }, + { + "name": "O(29)", + "direction": "output", + "type": "data" + }, + { + "name": "O(28)", + "direction": "output", + "type": "data" + }, + { + "name": "O(27)", + "direction": "output", + "type": "data" + }, + { + "name": "O(26)", + "direction": "output", + "type": "data" + }, + { + "name": "O(25)", + "direction": "output", + "type": "data" + }, + { + "name": "O(24)", + "direction": "output", + "type": "data" + }, + { + "name": "O(23)", + "direction": "output", + "type": "data" + }, + { + "name": "O(22)", + "direction": "output", + "type": "data" + }, + { + "name": "O(21)", + "direction": "output", + "type": "data" + }, + { + "name": "O(20)", + "direction": "output", + "type": "data" + }, + { + "name": "O(19)", + "direction": "output", + "type": "data" + }, + { + "name": "O(18)", + "direction": "output", + "type": "data" + }, + { + "name": "O(17)", + "direction": "output", + "type": "data" + }, + { + "name": "O(16)", + "direction": "output", + "type": "data" + }, + { + "name": "O(15)", + "direction": "output", + "type": "data" + }, + { + "name": "O(14)", + "direction": "output", + "type": "data" + }, + { + "name": "O(13)", + "direction": "output", + "type": "data" + }, + { + "name": "O(12)", + "direction": "output", + "type": "data" + }, + { + "name": "O(11)", + "direction": "output", + "type": "data" + }, + { + "name": "O(10)", + "direction": "output", + "type": "data" + }, + { + "name": "O(9)", + "direction": "output", + "type": "data" + }, + { + "name": "O(8)", + "direction": "output", + "type": "data" + }, + { + "name": "O(7)", + "direction": "output", + "type": "data" + }, + { + "name": "O(6)", + "direction": "output", + "type": "data" + }, + { + "name": "O(5)", + "direction": "output", + "type": "data" + }, + { + "name": "O(4)", + "direction": "output", + "type": "data" + }, + { + "name": "O(3)", + "direction": "output", + "type": "data" + }, + { + "name": "O(2)", + "direction": "output", + "type": "data" + }, + { + "name": "O(1)", + "direction": "output", + "type": "data" + }, + { + "name": "O(0)", + "direction": "output", + "type": "data" + } + ] + } + ] + }, + { + "name": "SB_I2C", + "types": [ + "sequential" + ], + "pin_groups": [ + { + "name": "SBCLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBCLKI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBRWI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBRWI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBSTBI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBSTBI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI7", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI7", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATO0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO7", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBACKO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBACKO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "I2CIRQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2CIRQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "I2CWKUP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "I2CWKUP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SCLI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SCLI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SCLO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SCLO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SCLOE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SCLOE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDAI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDAI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SDAO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDAO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SDAOE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SDAOE", + "direction": "output", + "type": "none" + } + ] + } + ] + }, + { + "name": "GND", + "types": [ + "combinational", + "ground" + ], + "pin_groups": [ + { + "name": "Y", + "direction": "output", + "type": "ground", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Y", + "direction": "output", + "type": "ground", + "function": "0b0" + } + ] + } + ] + }, + { + "name": "VCC", + "types": [ + "combinational", + "power" + ], + "pin_groups": [ + { + "name": "Y", + "direction": "output", + "type": "power", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "Y", + "direction": "output", + "type": "power", + "function": "0b1" + } + ] + } + ] + }, + { + "name": "SB_SPI", + "types": [ + "sequential" + ], + "pin_groups": [ + { + "name": "SBCLKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBCLKI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBRWI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBRWI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBSTBI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBSTBI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBADRI7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBADRI7", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI4", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI4", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI5", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI5", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI6", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI6", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATI7", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATI7", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SBDATO0", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO0", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO1", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO1", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO2", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO2", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO3", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO3", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO4", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO4", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO5", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO5", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO6", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO6", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBDATO7", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBDATO7", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SBACKO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SBACKO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SPIIRQ", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SPIIRQ", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SPIWKUP", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SPIWKUP", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SOE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SOE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "MOE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MOE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SCKI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SCKI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "SCKO", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SCKO", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SCKOE", + "direction": "output", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SCKOE", + "direction": "output", + "type": "none" + } + ] + }, + { + "name": "SCSNI", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "SCSNI", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCSNO0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCSNO0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCSNO1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCSNO1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCSNO2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCSNO2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCSNO3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCSNO3", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCSNOE0", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCSNOE0", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCSNOE1", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCSNOE1", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCSNOE2", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCSNOE2", + "direction": "input", + "type": "none" + } + ] + }, + { + "name": "MCSNOE3", + "direction": "input", + "type": "none", + "ascending": false, + "start_index": 0, + "pins": [ + { + "name": "MCSNOE3", + "direction": "input", + "type": "none" + } + ] + } + ] + } + ] +} \ No newline at end of file diff --git a/plugins/gate_libraries/definitions/lsi_10k.hgl b/plugins/gate_libraries/definitions/lsi_10k.hgl index d6226563ecb..3cf3359518d 100644 --- a/plugins/gate_libraries/definitions/lsi_10k.hgl +++ b/plugins/gate_libraries/definitions/lsi_10k.hgl @@ -3025,14 +3025,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & A) | (S & B))" } ] @@ -3133,14 +3133,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "((D0 & ((! A) & (! B))) | ((D1 & (A & (! B))) | ((D2 & ((! A) & B)) | (D3 & (A & B)))))" } ] @@ -5641,14 +5641,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & A) | (S & B))" } ] @@ -5707,14 +5707,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & (! A)) | (S & (! B)))" } ] @@ -5773,14 +5773,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "(((! S) & (! A)) | (S & (! B)))" } ] @@ -5867,14 +5867,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "(((! D0) & ((! A) & (! B))) | (((! D1) & (A & (! B))) | ((! D2) & B)))" } ] @@ -5961,14 +5961,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "(((! D0) & ((! A) & (! B))) | (((! D1) & (A & (! B))) | ((! D2) & B)))" } ] @@ -6069,14 +6069,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "((D0 & ((! A) & (! B))) | ((D1 & (A & (! B))) | ((D2 & ((! A) & B)) | (D3 & (A & B)))))" } ] @@ -6149,14 +6149,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "(! ((A & ((! S) & SN)) | (B & (S & (! SN)))))" } ] @@ -6285,14 +6285,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "((D0 & ((! A) & ((! B) & (! C)))) | ((D1 & (A & ((! B) & (! C)))) | ((D2 & ((! A) & (B & (! C)))) | ((D3 & (A & (B & (! C)))) | (D4 & C)))))" } ] @@ -6421,14 +6421,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "((D0 & ((! A) & ((! B) & (! C)))) | ((D1 & (A & ((! B) & (! C)))) | ((D2 & ((! A) & (B & (! C)))) | ((D3 & (A & (B & (! C)))) | (D4 & C)))))" } ] @@ -6599,14 +6599,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "((D0 & ((! A) & ((! B) & (! C)))) | ((D1 & (A & ((! B) & (! C)))) | ((D2 & ((! A) & (B & (! C)))) | ((D3 & (A & (B & (! C)))) | ((D4 & ((! A) & ((! B) & C))) | ((D5 & (A & ((! B) & C))) | ((D6 & ((! A) & (B & C))) | (D7 & (A & (B & C))))))))))" } ] @@ -6777,14 +6777,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "((D0 & ((! A) & ((! B) & (! C)))) | ((D1 & (A & ((! B) & (! C)))) | ((D2 & ((! A) & (B & (! C)))) | ((D3 & (A & (B & (! C)))) | ((D4 & ((! A) & ((! B) & C))) | ((D5 & (A & ((! B) & C))) | ((D6 & ((! A) & (B & C))) | (D7 & (A & (B & C))))))))))" } ] @@ -7561,14 +7561,14 @@ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "ascending": false, "start_index": 0, "pins": [ { "name": "Z", "direction": "output", - "type": "none", + "type": "data", "function": "(! ((A & ((! S) & SN)) | (B & (S & (! SN)))))" } ] diff --git a/plugins/genlib_writer/CMakeLists.txt b/plugins/genlib_writer/CMakeLists.txt new file mode 100644 index 00000000000..955637dccfb --- /dev/null +++ b/plugins/genlib_writer/CMakeLists.txt @@ -0,0 +1,12 @@ +option(PL_GENLIB_WRITER "PL_GENLIB_WRITER" OFF) +if(PL_GENLIB_WRITER OR BUILD_ALL_PLUGINS) + file(GLOB_RECURSE GENLIB_WRITER_INC ${CMAKE_CURRENT_SOURCE_DIR}/include/*.h) + file(GLOB_RECURSE GENLIB_WRITER_SRC ${CMAKE_CURRENT_SOURCE_DIR}/src/*.cpp) + file(GLOB_RECURSE GENLIB_WRITER_PYTHON_SRC ${CMAKE_CURRENT_SOURCE_DIR}/python/*.cpp) + + hal_add_plugin(genlib_writer + SHARED + HEADER ${GENLIB_WRITER_INC} + SOURCES ${GENLIB_WRITER_SRC} ${GENLIB_WRITER_PYTHON_SRC} + ) +endif() diff --git a/plugins/genlib_writer/include/genlib_writer/genlib_writer.h b/plugins/genlib_writer/include/genlib_writer/genlib_writer.h new file mode 100644 index 00000000000..45681ec99ad --- /dev/null +++ b/plugins/genlib_writer/include/genlib_writer/genlib_writer.h @@ -0,0 +1,57 @@ +// MIT License +// +// Copyright (c) 2019 Ruhr University Bochum, Chair for Embedded Security. All Rights reserved. +// Copyright (c) 2019 Marc Fyrbiak, Sebastian Wallat, Max Hoffmann ("ORIGINAL AUTHORS"). All rights reserved. +// Copyright (c) 2021 Max Planck Institute for Security and Privacy. All Rights reserved. +// Copyright (c) 2021 Jörn Langheinrich, Julian Speith, Nils Albartus, René Walendy, Simon Klix ("ORIGINAL AUTHORS"). All Rights reserved. +// +// Permission is hereby granted, free of charge, to any person obtaining a copy +// of this software and associated documentation files (the "Software"), to deal +// in the Software without restriction, including without limitation the rights +// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +// copies of the Software, and to permit persons to whom the Software is +// furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all +// copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +// SOFTWARE. + +#pragma once + +#include "hal_core/defines.h" +#include "hal_core/netlist/boolean_function.h" +#include "hal_core/netlist/gate_library/gate_library_writer/gate_library_writer.h" +#include "hal_core/netlist/gate_library/gate_type.h" + +namespace hal +{ + class GateType; + + /** + * @ingroup netlist + */ + class NETLIST_API GenlibWriter : public GateLibraryWriter + { + public: + GenlibWriter() = default; + ~GenlibWriter() = default; + + /** + * Write the gate library to a genlib file at the provided location. + * + * @param[in] gate_lib - The gate library. + * @param[in] file_path - The output path. + * @returns True on success, false otherwise. + */ + bool write(const GateLibrary* gate_lib, const std::filesystem::path& file_path) override; + + private: + }; +} // namespace hal \ No newline at end of file diff --git a/plugins/genlib_writer/include/genlib_writer/plugin_genlib_writer.h b/plugins/genlib_writer/include/genlib_writer/plugin_genlib_writer.h new file mode 100644 index 00000000000..f460303f8a3 --- /dev/null +++ b/plugins/genlib_writer/include/genlib_writer/plugin_genlib_writer.h @@ -0,0 +1,16 @@ +#pragma once + +#include "hal_core/plugin_system/plugin_interface_base.h" + +namespace hal +{ + class PLUGIN_API GenlibWriterPlugin : public BasePluginInterface + { + public: + std::string get_name() const override; + std::string get_version() const override; + + void on_load() override; + void on_unload() override; + }; +} // namespace hal diff --git a/plugins/genlib_writer/python/python_bindings.cpp b/plugins/genlib_writer/python/python_bindings.cpp new file mode 100644 index 00000000000..8c64a722db1 --- /dev/null +++ b/plugins/genlib_writer/python/python_bindings.cpp @@ -0,0 +1,38 @@ +#include "pybind11/operators.h" +#include "pybind11/pybind11.h" +#include "pybind11/stl.h" +#include "pybind11/stl_bind.h" +#include "hal_core/python_bindings/python_bindings.h" + +#include "genlib_writer/plugin_genlib_writer.h" + +namespace py = pybind11; + +namespace hal +{ + + // the name in PYBIND11_MODULE/PYBIND11_PLUGIN *MUST* match the filename of the output library (without extension), + // otherwise you will get "ImportError: dynamic module does not define module export function" when importing the module + + #ifdef PYBIND11_MODULE + PYBIND11_MODULE(genlib_writer, m) + { + m.doc() = "hal GenlibWriterPlugin python bindings"; + #else + PYBIND11_PLUGIN(genlib_writer) + { + py::module m("genlib_writer", "hal GenlibWriterPlugin python bindings"); + #endif // ifdef PYBIND11_MODULE + + py::class_, BasePluginInterface>(m, "GenlibWriterPlugin") + .def_property_readonly("name", &GenlibWriterPlugin::get_name) + .def("get_name", &GenlibWriterPlugin::get_name) + .def_property_readonly("version", &GenlibWriterPlugin::get_version) + .def("get_version", &GenlibWriterPlugin::get_version) + ; + + #ifndef PYBIND11_MODULE + return m.ptr(); + #endif // PYBIND11_MODULE + } +} diff --git a/plugins/genlib_writer/src/genlib_writer.cpp b/plugins/genlib_writer/src/genlib_writer.cpp new file mode 100644 index 00000000000..d58b0ed7626 --- /dev/null +++ b/plugins/genlib_writer/src/genlib_writer.cpp @@ -0,0 +1,87 @@ +#include "genlib_writer/genlib_writer.h" + +#include "hal_core/netlist/gate_library/gate_library.h" +#include "hal_core/utilities/log.h" + +#include +#include + +namespace hal +{ + namespace + { + std::string double_to_string(double d) + { + std::ostringstream oss; + oss << std::fixed << std::setprecision(4) << d; + return oss.str(); + } + } // namespace + + bool GenlibWriter::write(const GateLibrary* gate_lib, const std::filesystem::path& file_path) + { + std::setlocale(LC_NUMERIC, "en_US"); + + // set name + std::string file_str = "#" + gate_lib->get_name() + "\n"; + + // process combinational gates + for (const auto& [name, gt] : gate_lib->get_gate_types([](const GateType* gt) { return gt->has_property(GateTypeProperty::combinational); })) + { + if (gt->get_boolean_functions().empty()) + { + log_warning("GenlibWriter", "Skipping gate type {} because eventhough it is marked as combinational it does not have any boolean functions.", name); + continue; + } + + if (gt->get_boolean_functions().size() > 1) + { + log_warning("GenlibWriter", + "Skipping gate type {} because it contains {} Boolean functions, but the genlib format only supports single output cells.", + name, + gt->get_boolean_functions().size()); + continue; + } + + // TODO make this read out the area from the gate library (currently not implemented) + u32 base_value = gt->get_input_pins().size(); + u32 mux_value = (base_value - 1) * 0.15 + 1; + u32 other_values = base_value * 0.3 + 1; + const double gate_area = gt->has_property(GateTypeProperty::c_mux) ? mux_value : other_values; + auto [output_pin, bf] = *(gt->get_boolean_functions().begin()); + // simplify to get rid of XOR which we currently cannot translate to genlib + bf = bf.simplify(); + auto bf_str = bf.is_constant() ? (bf.has_constant_value(0) ? "CONST0" : "CONST1") : bf.to_string(); + + // TODO: this is the stupid way, but i do not care at the moment + bf_str = utils::replace(bf_str, std::string("|"), std::string("+")); + bf_str = utils::replace(bf_str, std::string("&"), std::string("*")); + + std::string gate_str = "GATE " + name + " " + double_to_string(gate_area) + " " + output_pin + "=" + bf_str + ";\n"; + + for (const auto& pin : gt->get_input_pins()) + { + const std::string phase = (bf.get_top_level_node().is_operation() && (bf.get_top_level_node().type == BooleanFunction::NodeType::Not)) ? "INV" : "NONINV"; + const std::string pin_str = "PIN " + pin->get_name() + " " + phase + " 1 999 1 0 1 0"; + gate_str += pin_str + "\n"; + } + + file_str += gate_str; + } + + // process sequential gates + for (const auto& [name, gt] : gate_lib->get_gate_types([](const GateType* gt) { return gt->has_property(GateTypeProperty::sequential); })) + { + log_warning("GenlibWriter", "Skipping gate type {} because sequential gates are currently not supported", name); + continue; + } + + // write generated string to file + std::ofstream file(file_path); + file << file_str; + file.close(); + + return true; + } + +} // namespace hal diff --git a/plugins/genlib_writer/src/plugin_genlib_writer.cpp b/plugins/genlib_writer/src/plugin_genlib_writer.cpp new file mode 100644 index 00000000000..bd5370b22fa --- /dev/null +++ b/plugins/genlib_writer/src/plugin_genlib_writer.cpp @@ -0,0 +1,34 @@ +#include "genlib_writer/plugin_genlib_writer.h" + +#include "genlib_writer/genlib_writer.h" +#include "hal_core/netlist/gate_library/gate_library_writer/gate_library_writer_manager.h" + +namespace hal +{ + + extern std::unique_ptr create_plugin_instance() + { + return std::make_unique(); + } + + std::string GenlibWriterPlugin::get_name() const + { + return std::string("genlib_writer"); + } + + std::string GenlibWriterPlugin::get_version() const + { + return std::string("0.1"); + } + + void GenlibWriterPlugin::on_load() + { + gate_library_writer_manager::register_writer("Default Genlib Writer", []() { return std::make_unique(); }, {".genlib"}); + } + + void GenlibWriterPlugin::on_unload() + { + gate_library_writer_manager::unregister_writer("Default Genlib Writer"); + } + +} // namespace hal diff --git a/plugins/graph_algorithm/include/graph_algorithm/plugin_graph_algorithm.h b/plugins/graph_algorithm/include/graph_algorithm/plugin_graph_algorithm.h index acda4c074df..172644ee253 100644 --- a/plugins/graph_algorithm/include/graph_algorithm/plugin_graph_algorithm.h +++ b/plugins/graph_algorithm/include/graph_algorithm/plugin_graph_algorithm.h @@ -27,6 +27,7 @@ #include "hal_core/plugin_system/plugin_interface_base.h" +#include #include namespace hal @@ -123,10 +124,21 @@ namespace hal * that for each global input and output dummy nodes are generated in the igraph representation. * * @param[in] netlist - The netlist to operate on. + * @param[out] graph - The output igraph object. + * @returns map from igraph node id to HAL gate ID, to be able to match back any graph operations. + */ + std::map get_igraph_directed(Netlist* const netlist, igraph_t* graph); + + /** + * Generates an directed graph based on the current netlist with only the dependency of the FFs. + * Each FF is transformed to a node while each net is transformed to an edge. The function returns + * the mapping from igraph node ids to HAL gates. + * + * @param[in] netlist - The netlist to operate on. * @param[in] igraph - igraph object * @returns map from igraph node id to HAL gate ID, to be able to match back any graph operations. */ - std::map get_igraph_directed(Netlist* const netlist, igraph_t* igraph); + std::map get_igraph_ff_dependency(Netlist* const nl, igraph_t* graph); /** * Uses the mapping provided by the the get_igraph_directed() function to generate sets of HAL gates @@ -141,5 +153,48 @@ namespace hal * @returns map from membership id to set of gates that have the membership. */ std::map> get_memberships_for_hal(igraph_t* graph, igraph_vector_t membership, std::map vertex_to_gate); + + /** + * Creates a graph edgelist file based on the current igraph + * + * @param[in] graph - igraph graph object + * @param[in] output_file - output file path + * @returns map from membership id to set of gates that have the membership. + */ + bool write_graph_to_file(igraph_t* graph, const std::string& output_file); + + /** + * Creates a graph edgelist file based on the current netlist with only the FF dependencies + * + * @param[in] netlist - netlist + * @param[in] output_file - output file path + * @returns map from membership id to set of gates that have the membership. + */ + bool write_ff_dependency_graph(Netlist* nl, const std::string& output_file); }; + + namespace graph_algorithm + { + /** + * TODO + * + * @param[out] igraph - The output igraph object. + */ + void get_igraph_directed(const std::vector>& edges, igraph_t* graph); + + /** + * TODO + * + * @param[out] igraph - The output igraph object. + */ + void add_edges(const std::vector>& edges, igraph_t* graph); + + /** + * Get a vector of strongly connected components (SCC) with each SSC being represented by a vector of gates. + * + * @param[in] graph - The igraph object to operate on. + * @returns A set of SCCs. + */ + std::set> get_strongly_connected_components(igraph_t* graph); + } // namespace graph_algorithm } // namespace hal \ No newline at end of file diff --git a/plugins/graph_algorithm/python/python_bindings.cpp b/plugins/graph_algorithm/python/python_bindings.cpp index 13ad9dc852e..3d6e03cb11a 100644 --- a/plugins/graph_algorithm/python/python_bindings.cpp +++ b/plugins/graph_algorithm/python/python_bindings.cpp @@ -90,13 +90,25 @@ namespace hal :returns: A dict from community IDs to communities. :rtype: dict[int,set[hal_py.get_gate()]] )") */ - .def("get_strongly_connected_components", &GraphAlgorithmPlugin::get_strongly_connected_components, py::arg("netlist"), R"( + .def("get_strongly_connected_components", py::overload_cast(&GraphAlgorithmPlugin::get_strongly_connected_components), py::arg("netlist"), R"( Get a list of strongly connected components (SCC) with each SSC being represented by a list of gates. :param hal_py.Netlist netlist: The netlist to operate on. :returns: A list of SCCs. :rtype: list[list[hal_py.get_gate()]] )") + .def("write_ff_dependency_graph", + &GraphAlgorithmPlugin::write_ff_dependency_graph, + py::arg("netlist"), + py::arg("output_file"), + R"( + Creates a graph edgelist file based on the current netlist with only the FF dependencies + + :param hal_py.Netlist netlist: The netlist to operate on. + :param pathlib.Path file_path: The output path. + :returns: True on success, false otherwise. + :rtype: bool + )") .def("get_graph_cut", &GraphAlgorithmPlugin::get_graph_cut, py::arg("netlist"), diff --git a/plugins/graph_algorithm/src/graph/strongly_connected_components.cpp b/plugins/graph_algorithm/src/graph/strongly_connected_components.cpp index e8aa9bd11d9..5376d53a43c 100644 --- a/plugins/graph_algorithm/src/graph/strongly_connected_components.cpp +++ b/plugins/graph_algorithm/src/graph/strongly_connected_components.cpp @@ -52,4 +52,45 @@ namespace hal return sccs; } + + namespace graph_algorithm + { + std::set> get_strongly_connected_components(igraph_t* graph) + { + if (graph == nullptr) + { + log_error("graph_algorithm", "{}", "parameter 'graph' is nullptr"); + return std::set>(); + } + + igraph_vector_t membership, csize; + igraph_integer_t number_of_clusters; + igraph_vector_init(&membership, 0); + igraph_vector_init(&csize, 0); + + // run scc + igraph_clusters(graph, &membership, &csize, &number_of_clusters, IGRAPH_STRONG); + + // map back to HAL structures + u32 num_vertices = (u32)igraph_vcount(graph); + std::map> community_sets; + + for (i32 i = 0; i < num_vertices; i++) + { + community_sets[VECTOR(membership)[i]].insert(i); + } + + // convert to set + std::set> sccs; + for (auto& [_, members] : community_sets) + { + sccs.insert(std::move(members)); + } + + igraph_vector_destroy(&membership); + igraph_vector_destroy(&csize); + + return sccs; + } + } // namespace graph_algorithm } // namespace hal diff --git a/plugins/graph_algorithm/src/igraph.cpp b/plugins/graph_algorithm/src/igraph.cpp index 9762dfa74fe..6a91f54c0c4 100644 --- a/plugins/graph_algorithm/src/igraph.cpp +++ b/plugins/graph_algorithm/src/igraph.cpp @@ -2,10 +2,12 @@ #include "hal_core/netlist/gate.h" #include "hal_core/netlist/net.h" #include "hal_core/netlist/netlist.h" +#include "hal_core/netlist/netlist_utils.h" #include "hal_core/plugin_system/plugin_manager.h" #include "hal_core/utilities/log.h" #include +#include #include namespace hal @@ -18,7 +20,7 @@ namespace hal u32 edge_counter = 0; for (auto net : nl->get_nets()) { - if (net->get_sources().size() > 1) + if (net->get_num_of_sources() > 1) { log_error("graph_algorithm", "multi-driven nets not yet supported! aborting"); return std::map(); @@ -26,7 +28,7 @@ namespace hal Gate* src_gate = nullptr; - if (net->get_sources().size() != 0) + if (net->get_num_of_sources() != 0) { src_gate = net->get_sources().at(0)->get_gate(); } @@ -71,7 +73,7 @@ namespace hal { Gate* src_gate = nullptr; - if (net->get_sources().size() != 0) + if (net->get_num_of_sources() != 0) { src_gate = net->get_sources().at(0)->get_gate(); } @@ -130,6 +132,84 @@ namespace hal return vertice_to_gate; } + std::map GraphAlgorithmPlugin::get_igraph_ff_dependency(Netlist* const nl, igraph_t* graph) + { + std::pair, std::vector>> hal_map_and_dependency_matrix = netlist_utils::get_ff_dependency_matrix(nl); + std::vector> dependency_matrix = hal_map_and_dependency_matrix.second; + + u32 edge_counter = 0; + + for (int j = 0; j < dependency_matrix.size(); j++) + { + if (dependency_matrix.size() != dependency_matrix.at(j).size()) + { + log_debug("graph_algorithm", "there probably was an error in the creation of the FF dependency matrix, since matrix has not equal in row/column size"); + return std::map(); + } + for (int i = 0; i < dependency_matrix.size(); i++) + { + if (dependency_matrix.at(j).at(i) == 1) + { + edge_counter++; + } + } + } + + // initialize edge vector + igraph_vector_t edges; + igraph_vector_init(&edges, 2 * edge_counter); + u32 edge_vertice_counter = 0; + + for (int j = 0; j < dependency_matrix.size(); j++) + { + for (int i = 0; i < dependency_matrix.size(); i++) + { + if (dependency_matrix.at(j).at(i) == 1) + { + VECTOR(edges)[edge_vertice_counter++] = j; + VECTOR(edges)[edge_vertice_counter++] = i; + } + } + } + + igraph_create(graph, &edges, 0, IGRAPH_DIRECTED); + + // convert to different return type... + std::map vertice_to_gate; + for (const auto& [id, gate] : hal_map_and_dependency_matrix.first) + { + vertice_to_gate[(int)id] = gate; + } + + return vertice_to_gate; + } + + bool GraphAlgorithmPlugin::write_graph_to_file(igraph_t* graph, const std::string& output_file) + { + FILE* fp; + fp = fopen(output_file.c_str(), "w+"); + igraph_write_graph_edgelist(graph, fp); + int status = fclose(fp); + return true; + } + + bool GraphAlgorithmPlugin::write_ff_dependency_graph(Netlist* nl, const std::string& output_file) + { + if (nl == nullptr) + { + log_error(this->get_name(), "{}", "parameter 'nl' is nullptr"); + return false; + } + + // get igraph + igraph_t graph; + std::map vertex_to_gate = get_igraph_ff_dependency(nl, &graph); + write_graph_to_file(&graph, output_file); + igraph_destroy(&graph); + + return true; + } + std::map> GraphAlgorithmPlugin::get_memberships_for_hal(igraph_t* graph, igraph_vector_t membership, std::map vertex_to_gate) { // map back to HAL structures @@ -145,4 +225,38 @@ namespace hal } return community_sets; } + + namespace graph_algorithm + { + void get_igraph_directed(const std::vector>& edges, igraph_t* graph) + { + igraph_vector_t igraph_edges; + igraph_vector_init(&igraph_edges, 2 * edges.size()); + + u32 ctr = 0; + for (const auto& [src, dst] : edges) + { + VECTOR(igraph_edges)[ctr++] = src; + VECTOR(igraph_edges)[ctr++] = dst; + } + + igraph_create(graph, &igraph_edges, 0, IGRAPH_DIRECTED); + igraph_vector_destroy(&igraph_edges); + } + + void add_edges(const std::vector>& edges, igraph_t* graph) + { + igraph_vector_t igraph_edges; + igraph_vector_init(&igraph_edges, 2 * edges.size()); + + u32 ctr = 0; + for (const auto& [src, dst] : edges) + { + VECTOR(igraph_edges)[ctr++] = src; + VECTOR(igraph_edges)[ctr++] = dst; + } + igraph_add_edges(graph, &igraph_edges, nullptr); + igraph_vector_destroy(&igraph_edges); + } + } // namespace graph_algorithm } // namespace hal diff --git a/plugins/gui/src/file_manager/file_manager.cpp b/plugins/gui/src/file_manager/file_manager.cpp index ec3ccffee68..c4f7b0cff19 100644 --- a/plugins/gui/src/file_manager/file_manager.cpp +++ b/plugins/gui/src/file_manager/file_manager.cpp @@ -95,10 +95,10 @@ namespace hal { if (gPythonContext->pythonThread()) { - log_info("gui", "Autosave deferred while python script is running..."); + log_debug("gui", "Autosave deferred while python script is running..."); return; } - log_info("gui", "saving a backup in case something goes wrong..."); + log_debug("gui", "saving a backup in case something goes wrong..."); if (!ProjectManager::instance()->serialize_project(gNetlist, true)) log_warning("gui", "Autosave failed to create project backup to directory '{}'.", pm->get_project_directory().get_shadow_dir().string()); } diff --git a/plugins/gui/src/main_window/main_window.cpp b/plugins/gui/src/main_window/main_window.cpp index 715882ade95..2848fbc080d 100644 --- a/plugins/gui/src/main_window/main_window.cpp +++ b/plugins/gui/src/main_window/main_window.cpp @@ -103,7 +103,7 @@ namespace hal mStackedWidget->addWidget(mSettings); mPluginManager = new GuiPluginManager(this); - connect(mPluginManager,&GuiPluginManager::backToNetlist,this,&MainWindow::closePluginManager); + connect(mPluginManager, &GuiPluginManager::backToNetlist, this, &MainWindow::closePluginManager); mStackedWidget->addWidget(mPluginManager); mLayoutArea = new ContentLayoutArea(); @@ -135,25 +135,25 @@ namespace hal setLocale(QLocale(QLocale::English, QLocale::UnitedStates)); - mActionNew = new Action(this); - mActionOpenProject = new Action(this); - mActionImportNetlist = new Action(this); - mActionSave = new Action(this); - mActionSaveAs = new Action(this); - mActionExportProject = new Action(this); - mActionImportProject = new Action(this); -// mActionGateLibraryManager = new Action(this); - mActionAbout = new Action(this); - - mActionStartRecording = new Action(this); - mActionStopRecording = new Action(this); - mActionPlayMacro = new Action(this); - mActionUndo = new Action(this); - - mActionSettings = new Action(this); - mActionPlugins = new Action(this); - mActionClose = new Action(this); - mActionQuit = new Action(this); + mActionNew = new Action(this); + mActionOpenProject = new Action(this); + mActionImportNetlist = new Action(this); + mActionSave = new Action(this); + mActionSaveAs = new Action(this); + mActionExportProject = new Action(this); + mActionImportProject = new Action(this); + // mActionGateLibraryManager = new Action(this); + mActionAbout = new Action(this); + + mActionStartRecording = new Action(this); + mActionStopRecording = new Action(this); + mActionPlayMacro = new Action(this); + mActionUndo = new Action(this); + + mActionSettings = new Action(this); + mActionPlugins = new Action(this); + mActionClose = new Action(this); + mActionQuit = new Action(this); // //mOpenIconStyle = "all->#fcfcb0"; // //mOpenIconStyle = "all->#f2e4a4"; @@ -188,7 +188,7 @@ namespace hal mActionSaveAs->setIcon(gui_utility::getStyledSvgIcon(mSaveAsIconStyle, mSaveAsIconPath)); mActionClose->setIcon(gui_utility::getStyledSvgIcon(mCloseIconStyle, mCloseIconPath)); mActionQuit->setIcon(gui_utility::getStyledSvgIcon(mQuitIconStyle, mQuitIconPath)); -// mActionGateLibraryManager->setIcon(gui_utility::getStyledSvgIcon(mSaveAsIconStyle, mSaveAsIconPath)); + // mActionGateLibraryManager->setIcon(gui_utility::getStyledSvgIcon(mSaveAsIconStyle, mSaveAsIconPath)); mActionUndo->setIcon(gui_utility::getStyledSvgIcon(mUndoIconStyle, mUndoIconPath)); mActionSettings->setIcon(gui_utility::getStyledSvgIcon(mSettingsIconStyle, mSettingsIconPath)); mActionPlugins->setIcon(gui_utility::getStyledSvgIcon(mPluginsIconStyle, mPluginsIconPath)); @@ -207,36 +207,36 @@ namespace hal mMenuFile->addAction(mActionClose); mMenuFile->addAction(mActionSave); mMenuFile->addAction(mActionSaveAs); -// mMenuFile->addAction(mActionGateLibraryManager); + // mMenuFile->addAction(mActionGateLibraryManager); QMenu* menuImport = new QMenu("Import …", this); menuImport->addAction(mActionImportNetlist); menuImport->addAction(mActionImportProject); QMenu* menuExport = new QMenu("Export …", this); - bool hasExporter = false; + bool hasExporter = false; for (auto it : netlist_writer_manager::get_writer_extensions()) { - if (it.second.empty()) continue; // no extensions registered + if (it.second.empty()) + continue; // no extensions registered QString label = QString::fromStdString(it.first); QRegExp re("Default (.*) Writer", Qt::CaseInsensitive); - QString txt = (re.indexIn(label) < 0) - ? label.remove(QChar(':')) - : QString("Export as ") + re.cap(1); + QString txt = (re.indexIn(label) < 0) ? label.remove(QChar(':')) : QString("Export as ") + re.cap(1); QStringList extensions; extensions.append(txt); for (std::string ex : it.second) extensions.append(QString::fromStdString(ex)); - hasExporter = true; + hasExporter = true; Action* action = new Action(txt, this); action->setData(extensions); connect(action, &QAction::triggered, this, &MainWindow::handleActionExport); menuExport->addAction(action); } - if (hasExporter) mMenuFile->addSeparator(); + if (hasExporter) + mMenuFile->addSeparator(); mActionExportProject->setDisabled(true); menuExport->addAction(mActionExportProject); @@ -245,15 +245,13 @@ namespace hal mMenuFile->addSeparator(); mMenuFile->addAction(mActionQuit); - SettingsItemCheckbox* evlogSetting = new SettingsItemCheckbox( - "Netlist event log", - "debug/event_log", - false, - "eXpert Settings:Debug", - "Specifies whether each netlist event gets dumped to event log. Might generate a lot of output thus slowing down hal system." - ); + SettingsItemCheckbox* evlogSetting = new SettingsItemCheckbox("Netlist event log", + "debug/event_log", + false, + "eXpert Settings:Debug", + "Specifies whether each netlist event gets dumped to event log. Might generate a lot of output thus slowing down hal system."); event_log::enable_event_log(evlogSetting->value().toBool()); - connect(evlogSetting,&SettingsItemCheckbox::boolChanged,this,&MainWindow::handleEventLogEnabled); + connect(evlogSetting, &SettingsItemCheckbox::boolChanged, this, &MainWindow::handleEventLogEnabled); mMenuEdit->addAction(mActionUndo); mMenuEdit->addSeparator(); @@ -289,7 +287,7 @@ namespace hal mActionImportNetlist->setText("Import Netlist"); mActionImportProject->setText("Import Project"); mActionExportProject->setText("Export Project"); -// mActionGateLibraryManager->setText("Gate Library Manager"); + // mActionGateLibraryManager->setText("Gate Library Manager"); mActionUndo->setText("Undo"); mActionAbout->setText("About"); mActionSettings->setText("Settings"); @@ -342,7 +340,7 @@ namespace hal connect(mActionSaveAs, &Action::triggered, this, &MainWindow::handleSaveAsTriggered); connect(mActionExportProject, &Action::triggered, this, &MainWindow::handleExportProjectTriggered); connect(mActionImportProject, &Action::triggered, this, &MainWindow::handleImportProjectTriggered); -// connect(mActionGateLibraryManager, &Action::triggered, this, &MainWindow::handleActionGatelibraryManager); + // connect(mActionGateLibraryManager, &Action::triggered, this, &MainWindow::handleActionGatelibraryManager); connect(mActionClose, &Action::triggered, this, &MainWindow::handleActionCloseFile); connect(mActionQuit, &Action::triggered, this, &MainWindow::onActionQuitTriggered); @@ -364,14 +362,14 @@ namespace hal switch (istyle) { - case StyleSheetOption::Dark: - styleSheetToOpen = ":/style/dark"; - break; - case StyleSheetOption::Light: - styleSheetToOpen = ":/style/light"; - break; - default: - return; + case StyleSheetOption::Dark: + styleSheetToOpen = ":/style/dark"; + break; + case StyleSheetOption::Light: + styleSheetToOpen = ":/style/light"; + break; + default: + return; } QFile stylesheet(styleSheetToOpen); stylesheet.open(QFile::ReadOnly); @@ -639,7 +637,7 @@ namespace hal void MainWindow::openSettings() { if (mStackedWidget->currentWidget() == mSettings) - return; //nothing todo, already open + return; //nothing todo, already open mSettings->activate(); mStackedWidget->setCurrentWidget(mSettings); @@ -659,7 +657,7 @@ namespace hal { mPluginManager->repolish(); if (mStackedWidget->currentWidget() == mPluginManager) - return; //nothing todo, already open + return; //nothing todo, already open if (mStackedWidget->currentWidget() == mSettings) { @@ -669,17 +667,19 @@ namespace hal mStackedWidget->setCurrentWidget(mPluginManager); } - void MainWindow::closePluginManager(const QString &invokeGui) + void MainWindow::closePluginManager(const QString& invokeGui) { bool isFileOpen = FileManager::get_instance()->fileOpen(); if (isFileOpen) mStackedWidget->setCurrentWidget(mLayoutArea); else mStackedWidget->setCurrentWidget(mWelcomeScreen); - if (invokeGui.isEmpty() || !isFileOpen) return; + if (invokeGui.isEmpty() || !isFileOpen) + return; GuiExtensionInterface* geif = GuiPluginManager::getGuiExtensions().value(invokeGui); - if (!geif) return; - PluginParameterDialog ppd(invokeGui,geif,this); + if (!geif) + return; + PluginParameterDialog ppd(invokeGui, geif, this); ppd.exec(); } @@ -716,14 +716,14 @@ namespace hal } ProjectDirDialog pdd("Open HAL project", QDir::currentPath(), this); - if (pdd.exec() != QDialog::Accepted) return; + if (pdd.exec() != QDialog::Accepted) + return; QStringList projects = pdd.selectedFiles(); for (int inx = 0; inx < projects.size(); ++inx) { if (!QFileInfo(projects.at(inx)).suffix().isEmpty()) { - QMessageBox::warning(this,"Bad project directory", "HAL project directories must not have suffix (." + - QFileInfo(projects.at(inx)).suffix() + ") in name"); + QMessageBox::warning(this, "Bad project directory", "HAL project directories must not have suffix (." + QFileInfo(projects.at(inx)).suffix() + ") in name"); continue; } ActionOpenNetlistFile* act = new ActionOpenNetlistFile(ActionOpenNetlistFile::OpenProject, pdd.selectedFiles().at(inx)); @@ -746,9 +746,9 @@ namespace hal return; } - QString title = "Import Netlist"; + QString title = "Import Netlist"; SupportedFileFormats sff = gPluginRelay->mGuiPluginTable->listFacFeature(FacExtensionInterface::FacNetlistParser); - QString text = sff.toFileDialog(true); + QString text = sff.toFileDialog(true); // Non native dialogs does not work on macOS. Therefore do net set DontUseNativeDialog! QString path = QDir::currentPath(); @@ -762,7 +762,7 @@ namespace hal { QString ext = QFileInfo(fileName).suffix(); if (!ext.isEmpty()) - gPluginRelay->mGuiPluginTable->loadFeature(FacExtensionInterface::FacNetlistParser,ext); + gPluginRelay->mGuiPluginTable->loadFeature(FacExtensionInterface::FacNetlistParser, ext); gGuiState->setValue("FileDialog/Path/MainWindow", fileName); @@ -789,19 +789,20 @@ namespace hal } gPythonContext->updateNetlist(); -// mActionGateLibraryManager->setVisible(false); + // mActionGateLibraryManager->setVisible(false); } void MainWindow::handleActionExport() { - if (!gNetlist) return; + if (!gNetlist) + return; QAction* act = static_cast(sender()); - if (!act || act->data().isNull()) return; + if (!act || act->data().isNull()) + return; ExportRegisteredFormat erf(act->data().toStringList()); if (erf.queryFilename()) erf.exportNetlist(); - } void MainWindow::handleActionGatelibraryManager() @@ -817,8 +818,7 @@ namespace hal { if (ipd.importProject()) { - ActionOpenNetlistFile* act = new ActionOpenNetlistFile(ActionOpenNetlistFile::OpenProject, - ipd.extractedProjectAbsolutePath()); + ActionOpenNetlistFile* act = new ActionOpenNetlistFile(ActionOpenNetlistFile::OpenProject, ipd.extractedProjectAbsolutePath()); act->exec(); } else @@ -848,15 +848,17 @@ namespace hal void MainWindow::handleSaveTriggered() { ProjectManager* pm = ProjectManager::instance(); - if (pm->get_project_status() == ProjectManager::ProjectStatus::NONE) return; - QString projectDir = QString::fromStdString(pm->get_project_directory().string()); + if (pm->get_project_status() == ProjectManager::ProjectStatus::NONE) + return; + QString projectDir = QString::fromStdString(pm->get_project_directory().string()); saveHandler(projectDir); gContentManager->setWindowTitle(projectDir); } - QString MainWindow::saveHandler(const QString &projectDir) + QString MainWindow::saveHandler(const QString& projectDir) { - if (!gNetlist) return QString(); + if (!gNetlist) + return QString(); QString saveProjectDir(projectDir); @@ -864,29 +866,30 @@ namespace hal if (saveProjectDir.isEmpty()) { - QString title = "Save Project"; + QString title = "Save Project"; QString filter = "HAL Directory Folder (*)"; // Non native dialogs does not work on macOS. Therefore do net set DontUseNativeDialog! saveProjectDir = QFileDialog::getSaveFileName(nullptr, title, QDir::currentPath(), filter, nullptr); - if (saveProjectDir.isEmpty()) return QString(); + if (saveProjectDir.isEmpty()) + return QString(); QFileInfo finfo(saveProjectDir); if (!finfo.suffix().isEmpty()) { - QMessageBox::warning(this,"Save Error", "selected project directory name must not have suffix ." + finfo.suffix()); + QMessageBox::warning(this, "Save Error", "selected project directory name must not have suffix ." + finfo.suffix()); return QString(); } if (finfo.exists()) { - QMessageBox::warning(this,"Save Error", "folder " + saveProjectDir + " already exists"); + QMessageBox::warning(this, "Save Error", "folder " + saveProjectDir + " already exists"); return QString(); } if (!pm->create_project_directory(saveProjectDir.toStdString())) { - QMessageBox::warning(this,"Save Error", "cannot create folder " + saveProjectDir); + QMessageBox::warning(this, "Save Error", "cannot create folder " + saveProjectDir); return QString(); } } @@ -921,7 +924,8 @@ namespace hal UserActionManager* uam = UserActionManager::instance(); QString macroFile; bool trySaveMacro = true; - while (trySaveMacro) { + while (trySaveMacro) + { if (uam->hasRecorded()) { macroFile = QFileDialog::getSaveFileName(this, "Save macro to file", "."); @@ -930,14 +934,14 @@ namespace hal } switch (uam->setStopRecording(macroFile)) { - case QMessageBox::Retry: - break; - case QMessageBox::Cancel: - return; - default: - // Ok or Discard - trySaveMacro = false; - break; + case QMessageBox::Retry: + break; + case QMessageBox::Cancel: + return; + default: + // Ok or Discard + trySaveMacro = false; + break; } } mActionStartRecording->setEnabled(true); @@ -1057,7 +1061,7 @@ namespace hal gNetlistRelay->reset(); -// mActionGateLibraryManager->setVisible(true); + // mActionGateLibraryManager->setVisible(true); return true; } diff --git a/plugins/gui/src/selection_details_widget/gate_details_widget/pin_tree_model.cpp b/plugins/gui/src/selection_details_widget/gate_details_widget/pin_tree_model.cpp index 34e85964008..e1304517f50 100644 --- a/plugins/gui/src/selection_details_widget/gate_details_widget/pin_tree_model.cpp +++ b/plugins/gui/src/selection_details_widget/gate_details_widget/pin_tree_model.cpp @@ -40,7 +40,8 @@ namespace hal return qvNetName; break;} } - return QVariant(); + + return QVariant(QVariant::Type::Invalid); } void PinTreeItem::setData(QList data) diff --git a/plugins/gui/src/selection_details_widget/module_details_widget/module_tree_model.cpp b/plugins/gui/src/selection_details_widget/module_details_widget/module_tree_model.cpp index 630bed28d37..4e32a61a4b0 100644 --- a/plugins/gui/src/selection_details_widget/module_details_widget/module_tree_model.cpp +++ b/plugins/gui/src/selection_details_widget/module_details_widget/module_tree_model.cpp @@ -24,7 +24,8 @@ namespace hal case 2: return mNodeType; } - return QVariant(); + + return QVariant(QVariant::Type::Invalid); } void ModuleTreeitem::setData(QList data) diff --git a/plugins/gui/src/selection_details_widget/module_details_widget/netlist_elements_tree_model.cpp b/plugins/gui/src/selection_details_widget/module_details_widget/netlist_elements_tree_model.cpp index bf68a43ff41..9e3bf185fa5 100644 --- a/plugins/gui/src/selection_details_widget/module_details_widget/netlist_elements_tree_model.cpp +++ b/plugins/gui/src/selection_details_widget/module_details_widget/netlist_elements_tree_model.cpp @@ -25,7 +25,8 @@ namespace hal case 2: return mNodeType; } - return QVariant(); + + return QVariant(QVariant::Type::Invalid); } void NetlistElementsTreeitem::setData(QList data) diff --git a/plugins/gui/src/selection_details_widget/module_details_widget/port_tree_model.cpp b/plugins/gui/src/selection_details_widget/module_details_widget/port_tree_model.cpp index f38d4ad5819..9163b4d9a57 100644 --- a/plugins/gui/src/selection_details_widget/module_details_widget/port_tree_model.cpp +++ b/plugins/gui/src/selection_details_widget/module_details_widget/port_tree_model.cpp @@ -36,7 +36,8 @@ namespace hal case 3: return mNetName; } - return QVariant(); + + return QVariant(QVariant::Type::Invalid); } void PortTreeItem::setData(QList data) diff --git a/plugins/hgl_parser/test/CMakeLists.txt b/plugins/hgl_parser/test/CMakeLists.txt index bfb117c79f0..557cfb9bdcb 100644 --- a/plugins/hgl_parser/test/CMakeLists.txt +++ b/plugins/hgl_parser/test/CMakeLists.txt @@ -3,7 +3,7 @@ if(BUILD_TESTS) add_executable(runTest-hgl_parser hgl_parser.cpp) - target_link_libraries(runTest-hgl_parser hgl_parser pthread gtest hal::core hal::netlist test_utils) + target_link_libraries(runTest-hgl_parser hgl_parser gtest hal::core hal::netlist test_utils) add_test(runTest-hgl_parser ${CMAKE_BINARY_DIR}/bin/hal_plugins/runTest-hgl_parser --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) diff --git a/plugins/hgl_writer/test/CMakeLists.txt b/plugins/hgl_writer/test/CMakeLists.txt index 92480f12b39..1a29d5f6044 100644 --- a/plugins/hgl_writer/test/CMakeLists.txt +++ b/plugins/hgl_writer/test/CMakeLists.txt @@ -5,7 +5,7 @@ if(BUILD_TESTS) add_executable(runTest-hgl_writer hgl_writer.cpp) - target_link_libraries(runTest-hgl_writer hgl_writer hgl_parser pthread gtest hal::core hal::netlist test_utils) + target_link_libraries(runTest-hgl_writer hgl_writer hgl_parser gtest hal::core hal::netlist test_utils) add_test(runTest-hgl_writer ${CMAKE_BINARY_DIR}/bin/hal_plugins/runTest-hgl_writer --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) diff --git a/plugins/liberty_parser/test/CMakeLists.txt b/plugins/liberty_parser/test/CMakeLists.txt index 3610b7fc187..97101fefc6e 100644 --- a/plugins/liberty_parser/test/CMakeLists.txt +++ b/plugins/liberty_parser/test/CMakeLists.txt @@ -21,7 +21,7 @@ if(BUILD_TESTS) add_executable(runTest-liberty_parser liberty_parser.cpp) - target_link_libraries(runTest-liberty_parser liberty_parser pthread gtest hal::core hal::netlist test_utils) + target_link_libraries(runTest-liberty_parser liberty_parser gtest hal::core hal::netlist test_utils) add_test(runTest-liberty_parser ${CMAKE_BINARY_DIR}/bin/hal_plugins/runTest-liberty_parser --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) diff --git a/plugins/netlist_preprocessing/CMakeLists.txt b/plugins/netlist_preprocessing/CMakeLists.txt index 9e379c43ff5..078cb33b6a1 100644 --- a/plugins/netlist_preprocessing/CMakeLists.txt +++ b/plugins/netlist_preprocessing/CMakeLists.txt @@ -5,6 +5,11 @@ if(PL_NETLIST_PREPROCESSING OR BUILD_ALL_PLUGINS) file(GLOB_RECURSE NETLIST_PREPROCESSING_SRC ${CMAKE_CURRENT_SOURCE_DIR}/src/*.cpp) file(GLOB_RECURSE NETLIST_PREPROCESSING_PYTHON_SRC ${CMAKE_CURRENT_SOURCE_DIR}/python/*.cpp) + if(Bitwuzla_FOUND) + add_compile_definitions(BITWUZLA_LIBRARY) + message(STATUS "found bitwuzla, adding define for solver query to bitwuzla library") + endif() + hal_add_plugin(netlist_preprocessing SHARED HEADER ${NETLIST_PREPROCESSING_INC} diff --git a/plugins/netlist_preprocessing/include/netlist_preprocessing/helper_lib.h b/plugins/netlist_preprocessing/include/netlist_preprocessing/helper_lib.h new file mode 100644 index 00000000000..3e17a7b45ed --- /dev/null +++ b/plugins/netlist_preprocessing/include/netlist_preprocessing/helper_lib.h @@ -0,0 +1,167 @@ +#pragma once + +#include + +namespace hal +{ + const std::string get_yosys_helper_lib() + { + std::string yosys_helper_lib = ""; + yosys_helper_lib += "// GND\n"; + yosys_helper_lib += "module GND (G);\n"; + yosys_helper_lib += "output G;\n"; + yosys_helper_lib += "assign G = 1\'b0;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// VCC\n"; + yosys_helper_lib += "module VCC (P);\n"; + yosys_helper_lib += "output P;\n"; + yosys_helper_lib += "assign P = 1\'b1;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// BUF\n"; + yosys_helper_lib += "module HAL_BUF (A, O);\n"; + yosys_helper_lib += "input A;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = A;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// INV\n"; + yosys_helper_lib += "module HAL_INV (A, O);\n"; + yosys_helper_lib += "input A;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = ~A;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// AND2\n"; + yosys_helper_lib += "module HAL_AND2 (A, B, O);\n"; + yosys_helper_lib += "input A, B;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = A & B;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// AND3\n"; + yosys_helper_lib += "module HAL_AND3 (A, B, C, O);\n"; + yosys_helper_lib += "input A, B, C;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = A & B & C;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// AND4\n"; + yosys_helper_lib += "module HAL_AND4 (A, B, C, D, O);\n"; + yosys_helper_lib += "input A, B, C, D;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = A & B & C & D;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// OR2\n"; + yosys_helper_lib += "module HAL_OR2 (A, B, O);\n"; + yosys_helper_lib += "input A, B;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = A | B;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// OR3\n"; + yosys_helper_lib += "module HAL_OR3 (A, B, C, O);\n"; + yosys_helper_lib += "input A, B, C;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = A | B | C;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// OR4\n"; + yosys_helper_lib += "module HAL_OR4 (A, B, C, D, O);\n"; + yosys_helper_lib += "input A, B, C, D;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = A | B | C | D;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// XOR2\n"; + yosys_helper_lib += "module HAL_XOR2 (A, B, O);\n"; + yosys_helper_lib += "input A, B;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = A ^ B;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// XOR3\n"; + yosys_helper_lib += "module HAL_XOR3 (A, B, C, O);\n"; + yosys_helper_lib += "input A, B, C;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = A ^ B ^ C;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// XOR4\n"; + yosys_helper_lib += "module HAL_XOR4 (A, B, C, D, O);\n"; + yosys_helper_lib += "input A, B, C, D;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = A ^ B ^ C ^ D;\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// XNOR2\n"; + yosys_helper_lib += "module HAL_XNOR2 (A, B, O);\n"; + yosys_helper_lib += "input A, B;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = (! (A ^ B));\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// XNOR3\n"; + yosys_helper_lib += "module HAL_XNOR3 (A, B, C, O);\n"; + yosys_helper_lib += "input A, B, C;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O =(! (A ^ (B ^ C)));\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "// XNOR4\n"; + yosys_helper_lib += "module HAL_XNOR4 (A, B, C, D, O);\n"; + yosys_helper_lib += "input A, B, C, D;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = (! (A ^ (B ^ (C ^ D))));\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "module HAL_MUX (A, B, S, O);\n"; + yosys_helper_lib += "input A, B, S;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = ((A & S) | (B & (! S)));\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "module HAL_MUX3 (A, B, C, S1, S2, O);\n"; + yosys_helper_lib += "input A, B, C, S1, S2;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = ((A & S1) | (!S1 & ((B & S2) | (C & !S2))));\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "module HAL_MUX4 (A, B, C, D, S1, S2, O);\n"; + yosys_helper_lib += "input A, B, C, D, S1, S2;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = ((A & S1 & S2) | (B & S1 & !S2) | (C & !S1 & S2) | (D & !S1 & !S2));\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "module HAL_MUX5 (A, B, C, D, E, S1, S2, S3, O);\n"; + yosys_helper_lib += "input A, B, C, D, E, S1, S2, S3;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = ((~S1 & ~S2 & ~S3 & A) | (S1 & ~S2 & ~S3 & B) | (~S1 & S2 & ~S3 & C) | (~S1 & ~S2 & S3 & D) | (S1 & S2 & ~S3 & E));\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "module HAL_MUX6 (A, B, C, D, E, F, S1, S2, S3, O);\n"; + yosys_helper_lib += "input A, B, C, D, E, F, S1, S2, S3;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = ((~S1 & ~S2 & ~S3 & A) | (S1 & ~S2 & ~S3 & B) | (~S1 & S2 & ~S3 & C) | (~S1 & ~S2 & S3 & D) | (S1 & S2 & ~S3 & E) | (S1 & ~S2 & S3 & F));\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "module HAL_MUX7 (A, B, C, D, E, F, G, S1, S2, S3, O);\n"; + yosys_helper_lib += "input A, B, C, D, E, F, G, S1, S2, S3;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += + "assign O = ((~S1 & ~S2 & ~S3 & A) | (S1 & ~S2 & ~S3 & B) | (~S1 & S2 & ~S3 & C) | (~S1 & ~S2 & S3 & D) | (S1 & S2 & ~S3 & E) | (S1 & ~S2 & S3 & F) | (S1 & S2 & S3 & G));\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + yosys_helper_lib += "module HAL_MUX8 (A, B, C, D, E, F, G, H, S1, S2, S3, O);\n"; + yosys_helper_lib += "input A, B, C, D, E, F, G, H, S1, S2, S3;\n"; + yosys_helper_lib += "output O;\n"; + yosys_helper_lib += "assign O = ((~S1 & ~S2 & ~S3 & A) | (S1 & ~S2 & ~S3 & B) | (~S1 & S2 & ~S3 & C) | (~S1 & ~S2 & S3 & D) | (S1 & S2 & ~S3 & E) | (S1 & ~S2 & S3 & F) | (S1 & S2 & S3 & G) | " + "(H & S1 & S2 & S3));\n"; + yosys_helper_lib += "endmodule\n"; + yosys_helper_lib += "\n"; + + return yosys_helper_lib; + } +} // namespace hal \ No newline at end of file diff --git a/plugins/netlist_preprocessing/include/netlist_preprocessing/plugin_netlist_preprocessing.h b/plugins/netlist_preprocessing/include/netlist_preprocessing/plugin_netlist_preprocessing.h index 6003a9ae0e7..c522f3132a5 100644 --- a/plugins/netlist_preprocessing/include/netlist_preprocessing/plugin_netlist_preprocessing.h +++ b/plugins/netlist_preprocessing/include/netlist_preprocessing/plugin_netlist_preprocessing.h @@ -52,7 +52,7 @@ namespace hal * Removes all LUT fan-in endpoints that do not correspond to a variable within the Boolean function that determines the output of a gate. * * @param[in] nl - The netlist to operate on. - * @returns The number of removed LUT endpoints on success, an error otherwise. + * @returns OK() and the number of removed LUT endpoints on success, an error otherwise. */ static Result remove_unused_lut_inputs(Netlist* nl); @@ -62,7 +62,7 @@ namespace hal * For example, a 2-input AND gate with one input being connected to constant `1` will also be removed. * * @param[in] nl - The netlist to operate on. - * @returns The number of removed buffers on success, an error otherwise. + * @returns OK() and the number of removed buffers on success, an error otherwise. */ static Result remove_buffers(Netlist* nl); @@ -70,82 +70,235 @@ namespace hal * Removes redundant gates from the netlist, i.e., gates that are functionally equivalent and are connected to the same input nets. * * @param[in] nl - The netlist to operate on. - * @return The number of removed gates on success, an error otherwise. + * @param[in] filter - Optional filter to fine-tune which gates are being replaced. Default to a `nullptr`. + * @return OK() and the number of removed gates on success, an error otherwise. */ - static Result remove_redundant_logic(Netlist* nl); + static Result remove_redundant_gates(Netlist* nl, const std::function& filter = nullptr); /** - * Removes gates which outputs are all unconnected and not a global output net. + * Removes redundant sequential feedback loops. + * Sometimes flip-flops and some of their combinational fan-in form a feedback loop where the flip-flop input depends on its own output. + * For optimization, some synthesizers create multiple equivalent instances of these feedback loops. + * To simplify structural analysis, this function removes the redundant flip-flop gate of the loop from the netlist. + * Other preprocessing functions can then take care of the remaining combination gates of the loop. * * @param[in] nl - The netlist to operate on. - * @return The number of removed gates on success, an error otherwise. + * @return OK() and the number of removed gates on success, an error otherwise. + */ + static Result remove_redundant_loops(Netlist* nl); + + /** + * Removes redundant logic trees made up of combinational gates. + * If two trees compute the exact same function even if implemented with different gates we will disconnect one of the trees and afterwards clean up all dangling gates and nets. + * + * @param[in] nl - The netlist to operate on. + * @return OK() and the number of disconnected net on success, an error otherwise. + */ + static Result remove_redundant_logic_trees(Netlist* nl); + + /** + * Removes gates for which all fan-out nets do not have a destination and are not global output nets. + * + * @param[in] nl - The netlist to operate on. + * @return OK() and the number of removed gates on success, an error otherwise. */ static Result remove_unconnected_gates(Netlist* nl); /** - * Remove nets which have no source and not destination. + * Removes nets who have neither a source, nor a destination. * * @param[in] nl - The netlist to operate on. - * @return The number of removed nets on success, an error otherwise. + * @return OK() and the number of removed nets on success, an error otherwise. */ static Result remove_unconnected_nets(Netlist* nl); /** - * Replaces pins connected to GND/VCC with constants and simplifies the boolean function of a LUT by recomputing the INIT string. + * Calls remove_unconnected_gates / remove_unconnected_nets until there are no further changes. + * + * @param[in] nl - The netlist to operate on. + * @return OK() and the number of removed nets and gates on success, an error otherwise. + */ + static Result remove_unconnected_looped(Netlist* nl); + + /** + * Apply manually implemented optimizations to the netlist centered around muxes. + * Currently implemented optimizations include: + * - removing inverters incase there are inverter gates in front and behind every data input and output of the mux + * - optimizing and therefore unifying possible inverters preceding the select signals by resynthesizing + * + * @param[in] nl - The netlist to operate on. + * @param[in] mux_inv_gl - A gate library only containing mux and inverter gates used for resynthesis. + * @return OK() and the difference in the total number of gates caused by these optimizations. + */ + static Result manual_mux_optimizations(Netlist* nl, GateLibrary* mux_inv_gl); + + /** + * Builds for all gate output nets the Boolean function and substitutes all variables connected to vcc/gnd nets with the respective boolean value. + * If the function simplifies to a static boolean constant cut the connection to the nets destinations and directly connect it to vcc/gnd. + * + * @param[in] nl - The netlist to operate on. + * @return OK() and the number rerouted destinations on success, an error otherwise. + */ + static Result propagate_constants(Netlist* nl); + + /** + * Removes two consecutive inverters and reconnects the input of the first inverter to the output of the second one. + * If the first inverter has additional successors, only the second inverter is deleted. + * + * @param[in] nl - The netlist to operate on. + * @returns OK() and the number of removed inverter gates on success, an error otherwise. + */ + static Result remove_consecutive_inverters(Netlist* nl); + + /** + * Replaces pins connected to GND/VCC with constants and simplifies the Boolean function of a LUT by recomputing the INIT string. * * @param[in] nl - The netlist to operate on. - * @return The number of simplified INIT strings on success, an error otherwise. + * @return OK() and the number of simplified INIT strings on success, an error otherwise. */ static Result simplify_lut_inits(Netlist* nl); /** - * Builds the Boolean function of each output pin of the gate and constructs a gate tree implementing it. - * Afterwards the original output net is connected to the built gate tree and the gate is deleted if the 'delete_gate' flag is set. + * Builds the Boolean function of each output pin of the gate and constructs a small netlist computing the same function. + * Afterwards the original gate ist replaced by this netlist and the gate is deleted if the `delete_gate` flag is set. * * For the decomposition we currently only support the base operands AND, OR, INVERT, XOR. * The function searches in the gate library for a fitting two input gate and uses a standard HAL gate type if none is found. * * @param[in] nl - The netlist to operate on. * @param[in] gate - The gate to decompose. - * @param[in] delete_gate - Determines whether the original gate gets deleted by the function, defaults to true, - * @return Ok on success, an error otherwise. + * @param[in] delete_gate - Determines whether the original gate gets deleted by the function, defaults to true. + * @return OK() on success, an error otherwise. */ static Result decompose_gate(Netlist* nl, Gate* g, const bool delete_gate = true); /** - * Decomposes each gate of the specified type by building the Boolean function for each output pin of the gate and contructing a gate tree implementing it. - * Afterwards the original gate is deleted and the output net is connected to the built gate tree. + * Decomposes each gate of the specified type by building the Boolean function for each output pin of the gate types and constructing a small netlist implementing these. + * Afterwards the original gates are deleted and replaced by the corresponding netlists. * * For the decomposition we currently only support the base operands AND, OR, INVERT, XOR. * The function searches in the gate library for a fitting two input gate and uses a standard HAL gate type if none is found. * * @param[in] nl - The netlist to operate on. * @param[in] gate_types - The gate types that should be decomposed. - * @return Ok and the number of decomposed gates on success, an error otherwise. + * @return OK() and the number of decomposed gates on success, an error otherwise. */ static Result decompose_gates_of_type(Netlist* nl, const std::vector& gate_types); /** - * Tries to reconstruct a name and index for each flip flop that was part of a multibit wire in the verilog code. + * Build the Boolean function of the gate and resynthesize a functional description of that function with a logic synthesizer. + * Afterwards the original gate is replaced by the technology mapped netlist produced by the synthesizer. + * + * @param[in] nl - The netlist to operate on. + * @param[in] g - The gate to resynthesize. + * @param[in] target_lib - Gate library containing the gates used for technology mapping. + * @param[in] genlib_path - Path to file containing the target library in genlib format. + * @param[in] delete_gate - Determines whether the original gate gets deleted by the function, defaults to true. + * @return OK() and the number of decomposed gates on success, an error otherwise. + */ + static Result resynthesize_gate(Netlist* nl, Gate* g, GateLibrary* target_lib, const std::filesystem::path& genlib_path, const bool delete_gate); + + /** + * Build the Boolean function for each gate and resynthesize a functional description of that function with a logic synthesizer. + * Afterwards all the original gates are replaced by the technology mapped netlists produced by the synthesizer. + * + * @param[in] nl - The netlist to operate on. + * @param[in] gates - The gates to resynthesize. + * @param[in] target_lib - Gate library containing the gates used for technology mapping. + * @param[in] genlib_path - Path to file containing the target library in genlib format. + * @return OK() and the number of decomposed gates on success, an error otherwise. + */ + static Result resynthesize_gates(Netlist* nl, const std::vector& gates, GateLibrary* target_lib); + + /** + * Build the Boolean functions of all gates of the specified types and resynthesize a functional description of those functions with a logic synthesizer. + * Afterwards the original gates are replaced by the technology mapped netlists produced by the synthesizer. + * + * @param[in] nl - The netlist to operate on. + * @param[in] gate_types - The gate types specifying which gates should be resynthesized. + * @param[in] target_lib - Gate library containing the gates used for technology mapping. + * @return OK() and the number of decomposed gates on success, an error otherwise. + */ + static Result resynthesize_gates_of_type(Netlist* nl, const std::vector& gate_types, GateLibrary* target_gl); + + /** + * Build a Verilog description of a subgraph of gates and synthesize a new technology mapped netlist of the whole subgraph with a logic synthesizer. + * Afterwards the original subgraph is replaced by the technology mapped netlist produced by the synthesizer. + * + * @param[in] nl - The netlist to operate on. + * @param[in] subgraph - The subgraph to resynthesize. + * @param[in] target_gl - Gate library containing the gates used for technology mapping. + * @return OK() and the number of decomposed gates on success, an error otherwise. + */ + static Result resynthesize_subgraph(Netlist* nl, const std::vector& subgraph, GateLibrary* target_gl); + + /** + * Build a verilog description of the subgraph consisting of all the gates of the specified types. + * Then synthesize a new technology mapped netlist of the whole subgraph with a logic synthesizer. + * Afterwards the original subgraph is replaced by the technology mapped netlist produced by the synthesizer. + * + * @param[in] nl - The netlist to operate on. + * @param[in] gate_types - The gate types specifying which gates should be part of the subgraph. + * @param[in] target_gl - Gate library containing the gates used for technology mapping. + * @return OK() and the number of decomposed gates on success, an error otherwise. + */ + static Result resynthesize_subgraph_of_type(Netlist* nl, const std::vector& gate_types, GateLibrary* target_gl); + + /** + * Tries to reconstruct a name and index for each flip flop that was part of a multi-bit wire in the verilog code. * This is NOT a general netlist reverse engineering algorithm and ONLY works on synthesized netlists with names annotated by the synthesizer. * This function mainly focuses netlists synthesized with yosys since yosys names the output wires of the flip flops but not the gate it self. * We try to reconstruct name and index for each flip flop based on the name of its output nets. * - * The reconstructed indexed identifiers get annoated to the flip flop in the gate data container. + * The reconstructed indexed identifiers get annotated to the flip flop in the gate data container. * * @param[in] nl - The netlist to operate on. - * return OK and the number of reconstructed names on success, an error otherwise. + * @return OK() and the number of reconstructed names on success, an error otherwise. */ static Result reconstruct_indexed_ff_identifiers(Netlist* nl); /** - * Parses a design exchange format file and extracts the coordinated of a placed design for each component/gate. + * Tries to reconstruct top module pin groups via indexed pin names. + * This should really be done by the verilog parser, but this is at the moment not the case. + * + * @param[in] nl - The netlist to operate on + * + * @return OK() and the number of reconstructed pin groups on success. + */ + static Result reconstruct_top_module_pin_groups(Netlist* nl); + + /** + * Parses a design exchange format file and extracts the coordinates of a placed design for each component/gate. * The extracted coordinates get annotated to the gates. * * @param[in] nl - The netlist to operate on. * @param[in] def_file - Path to the def file. - * return OK on success, an error otherwise. + * @return OK() on success, an error otherwise. */ static Result parse_def_file(Netlist* nl, const std::filesystem::path& def_file); + + /** + * Create modules from large gates like RAMs and DSPs with the option to concat mutliple gate pingroups to larger consecutive pin groups + * + * TODO: document paramaters + */ + static Result> create_multi_bit_gate_modules(Netlist* nl, const std::map>>& concatenated_pin_groups); + + /** + * TODO: document + */ + static Result> create_nets_at_unconnected_pins(Netlist* nl); + + /** + * Iterates all flip-flops of the netlist or specified by the user. + * If a flip-flop has a `state` and a `neg_state` output, a new inverter gate is created and connected to the `state` output net as an additional destination. + * Finally, the `neg_state` output net is disconnected from the `neg_state` pin and re-connected to the new inverter gate's output. + * + * @param[in] nl - The netlist to operate on. + * @param[in] ffs - The flip-flops to operate on. Defaults to an empty vector, in which case all flip-flops of the netlist are considered. + * @param[in] inverter_type - The inverter gate type to use. Defaults to a `nullptr`, in which case the first inverter type found in the gate library is used. + * @returns OK() and the number of rerouted `neg_state` outputs on success, an error otherwise. + */ + static Result unify_ff_outputs(Netlist* nl, const std::vector& ffs = {}, GateType* inverter_type = nullptr); }; } // namespace hal diff --git a/plugins/netlist_preprocessing/include/netlist_preprocessing/utils/json.hpp b/plugins/netlist_preprocessing/include/netlist_preprocessing/utils/json.hpp new file mode 100644 index 00000000000..fbb55ef4a7d --- /dev/null +++ b/plugins/netlist_preprocessing/include/netlist_preprocessing/utils/json.hpp @@ -0,0 +1,22111 @@ +/* + __ _____ _____ _____ + __| | __| | | | JSON for Modern C++ +| | |__ | | | | | | version 3.10.5 +|_____|_____|_____|_|___| https://github.com/nlohmann/json + +Licensed under the MIT License . +SPDX-License-Identifier: MIT +Copyright (c) 2013-2022 Niels Lohmann . + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all +copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +SOFTWARE. +*/ + +/****************************************************************************\ + * Note on documentation: The source files contain links to the online * + * documentation of the public API at https://json.nlohmann.me. This URL * + * contains the most recent documentation and should also be applicable to * + * previous versions; documentation for deprecated functions is not * + * removed, but marked deprecated. See "Generate documentation" section in * + * file doc/README.md. * +\****************************************************************************/ + +#ifndef INCLUDE_NLOHMANN_JSON_HPP_ +#define INCLUDE_NLOHMANN_JSON_HPP_ + +#define NLOHMANN_JSON_VERSION_MAJOR 3 +#define NLOHMANN_JSON_VERSION_MINOR 10 +#define NLOHMANN_JSON_VERSION_PATCH 5 + +#include // all_of, find, for_each +#include // nullptr_t, ptrdiff_t, size_t +#include // hash, less +#include // initializer_list +#ifndef JSON_NO_IO + #include // istream, ostream +#endif // JSON_NO_IO +#include // random_access_iterator_tag +#include // unique_ptr +#include // accumulate +#include // string, stoi, to_string +#include // declval, forward, move, pair, swap +#include // vector + +// #include + + +#include +#include + +// #include + + +#include // transform +#include // array +#include // forward_list +#include // inserter, front_inserter, end +#include // map +#include // string +#include // tuple, make_tuple +#include // is_arithmetic, is_same, is_enum, underlying_type, is_convertible +#include // unordered_map +#include // pair, declval +#include // valarray + +// #include + + +#include // exception +#include // runtime_error +#include // to_string +#include // vector + +// #include + + +#include // array +#include // size_t +#include // uint8_t +#include // string + +namespace nlohmann +{ +namespace detail +{ +/////////////////////////// +// JSON type enumeration // +/////////////////////////// + +/*! +@brief the JSON type enumeration + +This enumeration collects the different JSON types. It is internally used to +distinguish the stored values, and the functions @ref basic_json::is_null(), +@ref basic_json::is_object(), @ref basic_json::is_array(), +@ref basic_json::is_string(), @ref basic_json::is_boolean(), +@ref basic_json::is_number() (with @ref basic_json::is_number_integer(), +@ref basic_json::is_number_unsigned(), and @ref basic_json::is_number_float()), +@ref basic_json::is_discarded(), @ref basic_json::is_primitive(), and +@ref basic_json::is_structured() rely on it. + +@note There are three enumeration entries (number_integer, number_unsigned, and +number_float), because the library distinguishes these three types for numbers: +@ref basic_json::number_unsigned_t is used for unsigned integers, +@ref basic_json::number_integer_t is used for signed integers, and +@ref basic_json::number_float_t is used for floating-point numbers or to +approximate integers which do not fit in the limits of their respective type. + +@sa see @ref basic_json::basic_json(const value_t value_type) -- create a JSON +value with the default value for a given type + +@since version 1.0.0 +*/ +enum class value_t : std::uint8_t +{ + null, ///< null value + object, ///< object (unordered set of name/value pairs) + array, ///< array (ordered collection of values) + string, ///< string value + boolean, ///< boolean value + number_integer, ///< number value (signed integer) + number_unsigned, ///< number value (unsigned integer) + number_float, ///< number value (floating-point) + binary, ///< binary array (ordered collection of bytes) + discarded ///< discarded by the parser callback function +}; + +/*! +@brief comparison operator for JSON types + +Returns an ordering that is similar to Python: +- order: null < boolean < number < object < array < string < binary +- furthermore, each type is not smaller than itself +- discarded values are not comparable +- binary is represented as a b"" string in python and directly comparable to a + string; however, making a binary array directly comparable with a string would + be surprising behavior in a JSON file. + +@since version 1.0.0 +*/ +inline bool operator<(const value_t lhs, const value_t rhs) noexcept +{ + static constexpr std::array order = {{ + 0 /* null */, 3 /* object */, 4 /* array */, 5 /* string */, + 1 /* boolean */, 2 /* integer */, 2 /* unsigned */, 2 /* float */, + 6 /* binary */ + } + }; + + const auto l_index = static_cast(lhs); + const auto r_index = static_cast(rhs); + return l_index < order.size() && r_index < order.size() && order[l_index] < order[r_index]; +} +} // namespace detail +} // namespace nlohmann + +// #include + + +#include +// #include + + +#include // declval, pair +// #include + + +/* Hedley - https://nemequ.github.io/hedley + * Created by Evan Nemerson + * + * To the extent possible under law, the author(s) have dedicated all + * copyright and related and neighboring rights to this software to + * the public domain worldwide. This software is distributed without + * any warranty. + * + * For details, see . + * SPDX-License-Identifier: CC0-1.0 + */ + +#if !defined(JSON_HEDLEY_VERSION) || (JSON_HEDLEY_VERSION < 15) +#if defined(JSON_HEDLEY_VERSION) + #undef JSON_HEDLEY_VERSION +#endif +#define JSON_HEDLEY_VERSION 15 + +#if defined(JSON_HEDLEY_STRINGIFY_EX) + #undef JSON_HEDLEY_STRINGIFY_EX +#endif +#define JSON_HEDLEY_STRINGIFY_EX(x) #x + +#if defined(JSON_HEDLEY_STRINGIFY) + #undef JSON_HEDLEY_STRINGIFY +#endif +#define JSON_HEDLEY_STRINGIFY(x) JSON_HEDLEY_STRINGIFY_EX(x) + +#if defined(JSON_HEDLEY_CONCAT_EX) + #undef JSON_HEDLEY_CONCAT_EX +#endif +#define JSON_HEDLEY_CONCAT_EX(a,b) a##b + +#if defined(JSON_HEDLEY_CONCAT) + #undef JSON_HEDLEY_CONCAT +#endif +#define JSON_HEDLEY_CONCAT(a,b) JSON_HEDLEY_CONCAT_EX(a,b) + +#if defined(JSON_HEDLEY_CONCAT3_EX) + #undef JSON_HEDLEY_CONCAT3_EX +#endif +#define JSON_HEDLEY_CONCAT3_EX(a,b,c) a##b##c + +#if defined(JSON_HEDLEY_CONCAT3) + #undef JSON_HEDLEY_CONCAT3 +#endif +#define JSON_HEDLEY_CONCAT3(a,b,c) JSON_HEDLEY_CONCAT3_EX(a,b,c) + +#if defined(JSON_HEDLEY_VERSION_ENCODE) + #undef JSON_HEDLEY_VERSION_ENCODE +#endif +#define JSON_HEDLEY_VERSION_ENCODE(major,minor,revision) (((major) * 1000000) + ((minor) * 1000) + (revision)) + +#if defined(JSON_HEDLEY_VERSION_DECODE_MAJOR) + #undef JSON_HEDLEY_VERSION_DECODE_MAJOR +#endif +#define JSON_HEDLEY_VERSION_DECODE_MAJOR(version) ((version) / 1000000) + +#if defined(JSON_HEDLEY_VERSION_DECODE_MINOR) + #undef JSON_HEDLEY_VERSION_DECODE_MINOR +#endif +#define JSON_HEDLEY_VERSION_DECODE_MINOR(version) (((version) % 1000000) / 1000) + +#if defined(JSON_HEDLEY_VERSION_DECODE_REVISION) + #undef JSON_HEDLEY_VERSION_DECODE_REVISION +#endif +#define JSON_HEDLEY_VERSION_DECODE_REVISION(version) ((version) % 1000) + +#if defined(JSON_HEDLEY_GNUC_VERSION) + #undef JSON_HEDLEY_GNUC_VERSION +#endif +#if defined(__GNUC__) && defined(__GNUC_PATCHLEVEL__) + #define JSON_HEDLEY_GNUC_VERSION JSON_HEDLEY_VERSION_ENCODE(__GNUC__, __GNUC_MINOR__, __GNUC_PATCHLEVEL__) +#elif defined(__GNUC__) + #define JSON_HEDLEY_GNUC_VERSION JSON_HEDLEY_VERSION_ENCODE(__GNUC__, __GNUC_MINOR__, 0) +#endif + +#if defined(JSON_HEDLEY_GNUC_VERSION_CHECK) + #undef JSON_HEDLEY_GNUC_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_GNUC_VERSION) + #define JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_GNUC_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_MSVC_VERSION) + #undef JSON_HEDLEY_MSVC_VERSION +#endif +#if defined(_MSC_FULL_VER) && (_MSC_FULL_VER >= 140000000) && !defined(__ICL) + #define JSON_HEDLEY_MSVC_VERSION JSON_HEDLEY_VERSION_ENCODE(_MSC_FULL_VER / 10000000, (_MSC_FULL_VER % 10000000) / 100000, (_MSC_FULL_VER % 100000) / 100) +#elif defined(_MSC_FULL_VER) && !defined(__ICL) + #define JSON_HEDLEY_MSVC_VERSION JSON_HEDLEY_VERSION_ENCODE(_MSC_FULL_VER / 1000000, (_MSC_FULL_VER % 1000000) / 10000, (_MSC_FULL_VER % 10000) / 10) +#elif defined(_MSC_VER) && !defined(__ICL) + #define JSON_HEDLEY_MSVC_VERSION JSON_HEDLEY_VERSION_ENCODE(_MSC_VER / 100, _MSC_VER % 100, 0) +#endif + +#if defined(JSON_HEDLEY_MSVC_VERSION_CHECK) + #undef JSON_HEDLEY_MSVC_VERSION_CHECK +#endif +#if !defined(JSON_HEDLEY_MSVC_VERSION) + #define JSON_HEDLEY_MSVC_VERSION_CHECK(major,minor,patch) (0) +#elif defined(_MSC_VER) && (_MSC_VER >= 1400) + #define JSON_HEDLEY_MSVC_VERSION_CHECK(major,minor,patch) (_MSC_FULL_VER >= ((major * 10000000) + (minor * 100000) + (patch))) +#elif defined(_MSC_VER) && (_MSC_VER >= 1200) + #define JSON_HEDLEY_MSVC_VERSION_CHECK(major,minor,patch) (_MSC_FULL_VER >= ((major * 1000000) + (minor * 10000) + (patch))) +#else + #define JSON_HEDLEY_MSVC_VERSION_CHECK(major,minor,patch) (_MSC_VER >= ((major * 100) + (minor))) +#endif + +#if defined(JSON_HEDLEY_INTEL_VERSION) + #undef JSON_HEDLEY_INTEL_VERSION +#endif +#if defined(__INTEL_COMPILER) && defined(__INTEL_COMPILER_UPDATE) && !defined(__ICL) + #define JSON_HEDLEY_INTEL_VERSION JSON_HEDLEY_VERSION_ENCODE(__INTEL_COMPILER / 100, __INTEL_COMPILER % 100, __INTEL_COMPILER_UPDATE) +#elif defined(__INTEL_COMPILER) && !defined(__ICL) + #define JSON_HEDLEY_INTEL_VERSION JSON_HEDLEY_VERSION_ENCODE(__INTEL_COMPILER / 100, __INTEL_COMPILER % 100, 0) +#endif + +#if defined(JSON_HEDLEY_INTEL_VERSION_CHECK) + #undef JSON_HEDLEY_INTEL_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_INTEL_VERSION) + #define JSON_HEDLEY_INTEL_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_INTEL_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_INTEL_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_INTEL_CL_VERSION) + #undef JSON_HEDLEY_INTEL_CL_VERSION +#endif +#if defined(__INTEL_COMPILER) && defined(__INTEL_COMPILER_UPDATE) && defined(__ICL) + #define JSON_HEDLEY_INTEL_CL_VERSION JSON_HEDLEY_VERSION_ENCODE(__INTEL_COMPILER, __INTEL_COMPILER_UPDATE, 0) +#endif + +#if defined(JSON_HEDLEY_INTEL_CL_VERSION_CHECK) + #undef JSON_HEDLEY_INTEL_CL_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_INTEL_CL_VERSION) + #define JSON_HEDLEY_INTEL_CL_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_INTEL_CL_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_INTEL_CL_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_PGI_VERSION) + #undef JSON_HEDLEY_PGI_VERSION +#endif +#if defined(__PGI) && defined(__PGIC__) && defined(__PGIC_MINOR__) && defined(__PGIC_PATCHLEVEL__) + #define JSON_HEDLEY_PGI_VERSION JSON_HEDLEY_VERSION_ENCODE(__PGIC__, __PGIC_MINOR__, __PGIC_PATCHLEVEL__) +#endif + +#if defined(JSON_HEDLEY_PGI_VERSION_CHECK) + #undef JSON_HEDLEY_PGI_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_PGI_VERSION) + #define JSON_HEDLEY_PGI_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_PGI_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_PGI_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_SUNPRO_VERSION) + #undef JSON_HEDLEY_SUNPRO_VERSION +#endif +#if defined(__SUNPRO_C) && (__SUNPRO_C > 0x1000) + #define JSON_HEDLEY_SUNPRO_VERSION JSON_HEDLEY_VERSION_ENCODE((((__SUNPRO_C >> 16) & 0xf) * 10) + ((__SUNPRO_C >> 12) & 0xf), (((__SUNPRO_C >> 8) & 0xf) * 10) + ((__SUNPRO_C >> 4) & 0xf), (__SUNPRO_C & 0xf) * 10) +#elif defined(__SUNPRO_C) + #define JSON_HEDLEY_SUNPRO_VERSION JSON_HEDLEY_VERSION_ENCODE((__SUNPRO_C >> 8) & 0xf, (__SUNPRO_C >> 4) & 0xf, (__SUNPRO_C) & 0xf) +#elif defined(__SUNPRO_CC) && (__SUNPRO_CC > 0x1000) + #define JSON_HEDLEY_SUNPRO_VERSION JSON_HEDLEY_VERSION_ENCODE((((__SUNPRO_CC >> 16) & 0xf) * 10) + ((__SUNPRO_CC >> 12) & 0xf), (((__SUNPRO_CC >> 8) & 0xf) * 10) + ((__SUNPRO_CC >> 4) & 0xf), (__SUNPRO_CC & 0xf) * 10) +#elif defined(__SUNPRO_CC) + #define JSON_HEDLEY_SUNPRO_VERSION JSON_HEDLEY_VERSION_ENCODE((__SUNPRO_CC >> 8) & 0xf, (__SUNPRO_CC >> 4) & 0xf, (__SUNPRO_CC) & 0xf) +#endif + +#if defined(JSON_HEDLEY_SUNPRO_VERSION_CHECK) + #undef JSON_HEDLEY_SUNPRO_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_SUNPRO_VERSION) + #define JSON_HEDLEY_SUNPRO_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_SUNPRO_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_SUNPRO_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_EMSCRIPTEN_VERSION) + #undef JSON_HEDLEY_EMSCRIPTEN_VERSION +#endif +#if defined(__EMSCRIPTEN__) + #define JSON_HEDLEY_EMSCRIPTEN_VERSION JSON_HEDLEY_VERSION_ENCODE(__EMSCRIPTEN_major__, __EMSCRIPTEN_minor__, __EMSCRIPTEN_tiny__) +#endif + +#if defined(JSON_HEDLEY_EMSCRIPTEN_VERSION_CHECK) + #undef JSON_HEDLEY_EMSCRIPTEN_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_EMSCRIPTEN_VERSION) + #define JSON_HEDLEY_EMSCRIPTEN_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_EMSCRIPTEN_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_EMSCRIPTEN_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_ARM_VERSION) + #undef JSON_HEDLEY_ARM_VERSION +#endif +#if defined(__CC_ARM) && defined(__ARMCOMPILER_VERSION) + #define JSON_HEDLEY_ARM_VERSION JSON_HEDLEY_VERSION_ENCODE(__ARMCOMPILER_VERSION / 1000000, (__ARMCOMPILER_VERSION % 1000000) / 10000, (__ARMCOMPILER_VERSION % 10000) / 100) +#elif defined(__CC_ARM) && defined(__ARMCC_VERSION) + #define JSON_HEDLEY_ARM_VERSION JSON_HEDLEY_VERSION_ENCODE(__ARMCC_VERSION / 1000000, (__ARMCC_VERSION % 1000000) / 10000, (__ARMCC_VERSION % 10000) / 100) +#endif + +#if defined(JSON_HEDLEY_ARM_VERSION_CHECK) + #undef JSON_HEDLEY_ARM_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_ARM_VERSION) + #define JSON_HEDLEY_ARM_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_ARM_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_ARM_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_IBM_VERSION) + #undef JSON_HEDLEY_IBM_VERSION +#endif +#if defined(__ibmxl__) + #define JSON_HEDLEY_IBM_VERSION JSON_HEDLEY_VERSION_ENCODE(__ibmxl_version__, __ibmxl_release__, __ibmxl_modification__) +#elif defined(__xlC__) && defined(__xlC_ver__) + #define JSON_HEDLEY_IBM_VERSION JSON_HEDLEY_VERSION_ENCODE(__xlC__ >> 8, __xlC__ & 0xff, (__xlC_ver__ >> 8) & 0xff) +#elif defined(__xlC__) + #define JSON_HEDLEY_IBM_VERSION JSON_HEDLEY_VERSION_ENCODE(__xlC__ >> 8, __xlC__ & 0xff, 0) +#endif + +#if defined(JSON_HEDLEY_IBM_VERSION_CHECK) + #undef JSON_HEDLEY_IBM_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_IBM_VERSION) + #define JSON_HEDLEY_IBM_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_IBM_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_IBM_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_VERSION) + #undef JSON_HEDLEY_TI_VERSION +#endif +#if \ + defined(__TI_COMPILER_VERSION__) && \ + ( \ + defined(__TMS470__) || defined(__TI_ARM__) || \ + defined(__MSP430__) || \ + defined(__TMS320C2000__) \ + ) +#if (__TI_COMPILER_VERSION__ >= 16000000) + #define JSON_HEDLEY_TI_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif +#endif + +#if defined(JSON_HEDLEY_TI_VERSION_CHECK) + #undef JSON_HEDLEY_TI_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_VERSION) + #define JSON_HEDLEY_TI_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_CL2000_VERSION) + #undef JSON_HEDLEY_TI_CL2000_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && defined(__TMS320C2000__) + #define JSON_HEDLEY_TI_CL2000_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_CL2000_VERSION_CHECK) + #undef JSON_HEDLEY_TI_CL2000_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_CL2000_VERSION) + #define JSON_HEDLEY_TI_CL2000_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_CL2000_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_CL2000_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_CL430_VERSION) + #undef JSON_HEDLEY_TI_CL430_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && defined(__MSP430__) + #define JSON_HEDLEY_TI_CL430_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_CL430_VERSION_CHECK) + #undef JSON_HEDLEY_TI_CL430_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_CL430_VERSION) + #define JSON_HEDLEY_TI_CL430_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_CL430_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_CL430_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_ARMCL_VERSION) + #undef JSON_HEDLEY_TI_ARMCL_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && (defined(__TMS470__) || defined(__TI_ARM__)) + #define JSON_HEDLEY_TI_ARMCL_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_ARMCL_VERSION_CHECK) + #undef JSON_HEDLEY_TI_ARMCL_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_ARMCL_VERSION) + #define JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_ARMCL_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_CL6X_VERSION) + #undef JSON_HEDLEY_TI_CL6X_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && defined(__TMS320C6X__) + #define JSON_HEDLEY_TI_CL6X_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_CL6X_VERSION_CHECK) + #undef JSON_HEDLEY_TI_CL6X_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_CL6X_VERSION) + #define JSON_HEDLEY_TI_CL6X_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_CL6X_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_CL6X_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_CL7X_VERSION) + #undef JSON_HEDLEY_TI_CL7X_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && defined(__C7000__) + #define JSON_HEDLEY_TI_CL7X_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_CL7X_VERSION_CHECK) + #undef JSON_HEDLEY_TI_CL7X_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_CL7X_VERSION) + #define JSON_HEDLEY_TI_CL7X_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_CL7X_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_CL7X_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_CLPRU_VERSION) + #undef JSON_HEDLEY_TI_CLPRU_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && defined(__PRU__) + #define JSON_HEDLEY_TI_CLPRU_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_CLPRU_VERSION_CHECK) + #undef JSON_HEDLEY_TI_CLPRU_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_CLPRU_VERSION) + #define JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_CLPRU_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_CRAY_VERSION) + #undef JSON_HEDLEY_CRAY_VERSION +#endif +#if defined(_CRAYC) + #if defined(_RELEASE_PATCHLEVEL) + #define JSON_HEDLEY_CRAY_VERSION JSON_HEDLEY_VERSION_ENCODE(_RELEASE_MAJOR, _RELEASE_MINOR, _RELEASE_PATCHLEVEL) + #else + #define JSON_HEDLEY_CRAY_VERSION JSON_HEDLEY_VERSION_ENCODE(_RELEASE_MAJOR, _RELEASE_MINOR, 0) + #endif +#endif + +#if defined(JSON_HEDLEY_CRAY_VERSION_CHECK) + #undef JSON_HEDLEY_CRAY_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_CRAY_VERSION) + #define JSON_HEDLEY_CRAY_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_CRAY_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_CRAY_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_IAR_VERSION) + #undef JSON_HEDLEY_IAR_VERSION +#endif +#if defined(__IAR_SYSTEMS_ICC__) + #if __VER__ > 1000 + #define JSON_HEDLEY_IAR_VERSION JSON_HEDLEY_VERSION_ENCODE((__VER__ / 1000000), ((__VER__ / 1000) % 1000), (__VER__ % 1000)) + #else + #define JSON_HEDLEY_IAR_VERSION JSON_HEDLEY_VERSION_ENCODE(__VER__ / 100, __VER__ % 100, 0) + #endif +#endif + +#if defined(JSON_HEDLEY_IAR_VERSION_CHECK) + #undef JSON_HEDLEY_IAR_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_IAR_VERSION) + #define JSON_HEDLEY_IAR_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_IAR_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_IAR_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TINYC_VERSION) + #undef JSON_HEDLEY_TINYC_VERSION +#endif +#if defined(__TINYC__) + #define JSON_HEDLEY_TINYC_VERSION JSON_HEDLEY_VERSION_ENCODE(__TINYC__ / 1000, (__TINYC__ / 100) % 10, __TINYC__ % 100) +#endif + +#if defined(JSON_HEDLEY_TINYC_VERSION_CHECK) + #undef JSON_HEDLEY_TINYC_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TINYC_VERSION) + #define JSON_HEDLEY_TINYC_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TINYC_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TINYC_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_DMC_VERSION) + #undef JSON_HEDLEY_DMC_VERSION +#endif +#if defined(__DMC__) + #define JSON_HEDLEY_DMC_VERSION JSON_HEDLEY_VERSION_ENCODE(__DMC__ >> 8, (__DMC__ >> 4) & 0xf, __DMC__ & 0xf) +#endif + +#if defined(JSON_HEDLEY_DMC_VERSION_CHECK) + #undef JSON_HEDLEY_DMC_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_DMC_VERSION) + #define JSON_HEDLEY_DMC_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_DMC_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_DMC_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_COMPCERT_VERSION) + #undef JSON_HEDLEY_COMPCERT_VERSION +#endif +#if defined(__COMPCERT_VERSION__) + #define JSON_HEDLEY_COMPCERT_VERSION JSON_HEDLEY_VERSION_ENCODE(__COMPCERT_VERSION__ / 10000, (__COMPCERT_VERSION__ / 100) % 100, __COMPCERT_VERSION__ % 100) +#endif + +#if defined(JSON_HEDLEY_COMPCERT_VERSION_CHECK) + #undef JSON_HEDLEY_COMPCERT_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_COMPCERT_VERSION) + #define JSON_HEDLEY_COMPCERT_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_COMPCERT_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_COMPCERT_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_PELLES_VERSION) + #undef JSON_HEDLEY_PELLES_VERSION +#endif +#if defined(__POCC__) + #define JSON_HEDLEY_PELLES_VERSION JSON_HEDLEY_VERSION_ENCODE(__POCC__ / 100, __POCC__ % 100, 0) +#endif + +#if defined(JSON_HEDLEY_PELLES_VERSION_CHECK) + #undef JSON_HEDLEY_PELLES_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_PELLES_VERSION) + #define JSON_HEDLEY_PELLES_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_PELLES_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_PELLES_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_MCST_LCC_VERSION) + #undef JSON_HEDLEY_MCST_LCC_VERSION +#endif +#if defined(__LCC__) && defined(__LCC_MINOR__) + #define JSON_HEDLEY_MCST_LCC_VERSION JSON_HEDLEY_VERSION_ENCODE(__LCC__ / 100, __LCC__ % 100, __LCC_MINOR__) +#endif + +#if defined(JSON_HEDLEY_MCST_LCC_VERSION_CHECK) + #undef JSON_HEDLEY_MCST_LCC_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_MCST_LCC_VERSION) + #define JSON_HEDLEY_MCST_LCC_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_MCST_LCC_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_MCST_LCC_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_GCC_VERSION) + #undef JSON_HEDLEY_GCC_VERSION +#endif +#if \ + defined(JSON_HEDLEY_GNUC_VERSION) && \ + !defined(__clang__) && \ + !defined(JSON_HEDLEY_INTEL_VERSION) && \ + !defined(JSON_HEDLEY_PGI_VERSION) && \ + !defined(JSON_HEDLEY_ARM_VERSION) && \ + !defined(JSON_HEDLEY_CRAY_VERSION) && \ + !defined(JSON_HEDLEY_TI_VERSION) && \ + !defined(JSON_HEDLEY_TI_ARMCL_VERSION) && \ + !defined(JSON_HEDLEY_TI_CL430_VERSION) && \ + !defined(JSON_HEDLEY_TI_CL2000_VERSION) && \ + !defined(JSON_HEDLEY_TI_CL6X_VERSION) && \ + !defined(JSON_HEDLEY_TI_CL7X_VERSION) && \ + !defined(JSON_HEDLEY_TI_CLPRU_VERSION) && \ + !defined(__COMPCERT__) && \ + !defined(JSON_HEDLEY_MCST_LCC_VERSION) + #define JSON_HEDLEY_GCC_VERSION JSON_HEDLEY_GNUC_VERSION +#endif + +#if defined(JSON_HEDLEY_GCC_VERSION_CHECK) + #undef JSON_HEDLEY_GCC_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_GCC_VERSION) + #define JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_GCC_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_HAS_ATTRIBUTE) + #undef JSON_HEDLEY_HAS_ATTRIBUTE +#endif +#if \ + defined(__has_attribute) && \ + ( \ + (!defined(JSON_HEDLEY_IAR_VERSION) || JSON_HEDLEY_IAR_VERSION_CHECK(8,5,9)) \ + ) +# define JSON_HEDLEY_HAS_ATTRIBUTE(attribute) __has_attribute(attribute) +#else +# define JSON_HEDLEY_HAS_ATTRIBUTE(attribute) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_ATTRIBUTE) + #undef JSON_HEDLEY_GNUC_HAS_ATTRIBUTE +#endif +#if defined(__has_attribute) + #define JSON_HEDLEY_GNUC_HAS_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_HAS_ATTRIBUTE(attribute) +#else + #define JSON_HEDLEY_GNUC_HAS_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_ATTRIBUTE) + #undef JSON_HEDLEY_GCC_HAS_ATTRIBUTE +#endif +#if defined(__has_attribute) + #define JSON_HEDLEY_GCC_HAS_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_HAS_ATTRIBUTE(attribute) +#else + #define JSON_HEDLEY_GCC_HAS_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_CPP_ATTRIBUTE) + #undef JSON_HEDLEY_HAS_CPP_ATTRIBUTE +#endif +#if \ + defined(__has_cpp_attribute) && \ + defined(__cplusplus) && \ + (!defined(JSON_HEDLEY_SUNPRO_VERSION) || JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,15,0)) + #define JSON_HEDLEY_HAS_CPP_ATTRIBUTE(attribute) __has_cpp_attribute(attribute) +#else + #define JSON_HEDLEY_HAS_CPP_ATTRIBUTE(attribute) (0) +#endif + +#if defined(JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS) + #undef JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS +#endif +#if !defined(__cplusplus) || !defined(__has_cpp_attribute) + #define JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS(ns,attribute) (0) +#elif \ + !defined(JSON_HEDLEY_PGI_VERSION) && \ + !defined(JSON_HEDLEY_IAR_VERSION) && \ + (!defined(JSON_HEDLEY_SUNPRO_VERSION) || JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,15,0)) && \ + (!defined(JSON_HEDLEY_MSVC_VERSION) || JSON_HEDLEY_MSVC_VERSION_CHECK(19,20,0)) + #define JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS(ns,attribute) JSON_HEDLEY_HAS_CPP_ATTRIBUTE(ns::attribute) +#else + #define JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS(ns,attribute) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_CPP_ATTRIBUTE) + #undef JSON_HEDLEY_GNUC_HAS_CPP_ATTRIBUTE +#endif +#if defined(__has_cpp_attribute) && defined(__cplusplus) + #define JSON_HEDLEY_GNUC_HAS_CPP_ATTRIBUTE(attribute,major,minor,patch) __has_cpp_attribute(attribute) +#else + #define JSON_HEDLEY_GNUC_HAS_CPP_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_CPP_ATTRIBUTE) + #undef JSON_HEDLEY_GCC_HAS_CPP_ATTRIBUTE +#endif +#if defined(__has_cpp_attribute) && defined(__cplusplus) + #define JSON_HEDLEY_GCC_HAS_CPP_ATTRIBUTE(attribute,major,minor,patch) __has_cpp_attribute(attribute) +#else + #define JSON_HEDLEY_GCC_HAS_CPP_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_BUILTIN) + #undef JSON_HEDLEY_HAS_BUILTIN +#endif +#if defined(__has_builtin) + #define JSON_HEDLEY_HAS_BUILTIN(builtin) __has_builtin(builtin) +#else + #define JSON_HEDLEY_HAS_BUILTIN(builtin) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_BUILTIN) + #undef JSON_HEDLEY_GNUC_HAS_BUILTIN +#endif +#if defined(__has_builtin) + #define JSON_HEDLEY_GNUC_HAS_BUILTIN(builtin,major,minor,patch) __has_builtin(builtin) +#else + #define JSON_HEDLEY_GNUC_HAS_BUILTIN(builtin,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_BUILTIN) + #undef JSON_HEDLEY_GCC_HAS_BUILTIN +#endif +#if defined(__has_builtin) + #define JSON_HEDLEY_GCC_HAS_BUILTIN(builtin,major,minor,patch) __has_builtin(builtin) +#else + #define JSON_HEDLEY_GCC_HAS_BUILTIN(builtin,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_FEATURE) + #undef JSON_HEDLEY_HAS_FEATURE +#endif +#if defined(__has_feature) + #define JSON_HEDLEY_HAS_FEATURE(feature) __has_feature(feature) +#else + #define JSON_HEDLEY_HAS_FEATURE(feature) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_FEATURE) + #undef JSON_HEDLEY_GNUC_HAS_FEATURE +#endif +#if defined(__has_feature) + #define JSON_HEDLEY_GNUC_HAS_FEATURE(feature,major,minor,patch) __has_feature(feature) +#else + #define JSON_HEDLEY_GNUC_HAS_FEATURE(feature,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_FEATURE) + #undef JSON_HEDLEY_GCC_HAS_FEATURE +#endif +#if defined(__has_feature) + #define JSON_HEDLEY_GCC_HAS_FEATURE(feature,major,minor,patch) __has_feature(feature) +#else + #define JSON_HEDLEY_GCC_HAS_FEATURE(feature,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_EXTENSION) + #undef JSON_HEDLEY_HAS_EXTENSION +#endif +#if defined(__has_extension) + #define JSON_HEDLEY_HAS_EXTENSION(extension) __has_extension(extension) +#else + #define JSON_HEDLEY_HAS_EXTENSION(extension) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_EXTENSION) + #undef JSON_HEDLEY_GNUC_HAS_EXTENSION +#endif +#if defined(__has_extension) + #define JSON_HEDLEY_GNUC_HAS_EXTENSION(extension,major,minor,patch) __has_extension(extension) +#else + #define JSON_HEDLEY_GNUC_HAS_EXTENSION(extension,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_EXTENSION) + #undef JSON_HEDLEY_GCC_HAS_EXTENSION +#endif +#if defined(__has_extension) + #define JSON_HEDLEY_GCC_HAS_EXTENSION(extension,major,minor,patch) __has_extension(extension) +#else + #define JSON_HEDLEY_GCC_HAS_EXTENSION(extension,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE) + #undef JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE +#endif +#if defined(__has_declspec_attribute) + #define JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE(attribute) __has_declspec_attribute(attribute) +#else + #define JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE(attribute) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_DECLSPEC_ATTRIBUTE) + #undef JSON_HEDLEY_GNUC_HAS_DECLSPEC_ATTRIBUTE +#endif +#if defined(__has_declspec_attribute) + #define JSON_HEDLEY_GNUC_HAS_DECLSPEC_ATTRIBUTE(attribute,major,minor,patch) __has_declspec_attribute(attribute) +#else + #define JSON_HEDLEY_GNUC_HAS_DECLSPEC_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_DECLSPEC_ATTRIBUTE) + #undef JSON_HEDLEY_GCC_HAS_DECLSPEC_ATTRIBUTE +#endif +#if defined(__has_declspec_attribute) + #define JSON_HEDLEY_GCC_HAS_DECLSPEC_ATTRIBUTE(attribute,major,minor,patch) __has_declspec_attribute(attribute) +#else + #define JSON_HEDLEY_GCC_HAS_DECLSPEC_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_WARNING) + #undef JSON_HEDLEY_HAS_WARNING +#endif +#if defined(__has_warning) + #define JSON_HEDLEY_HAS_WARNING(warning) __has_warning(warning) +#else + #define JSON_HEDLEY_HAS_WARNING(warning) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_WARNING) + #undef JSON_HEDLEY_GNUC_HAS_WARNING +#endif +#if defined(__has_warning) + #define JSON_HEDLEY_GNUC_HAS_WARNING(warning,major,minor,patch) __has_warning(warning) +#else + #define JSON_HEDLEY_GNUC_HAS_WARNING(warning,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_WARNING) + #undef JSON_HEDLEY_GCC_HAS_WARNING +#endif +#if defined(__has_warning) + #define JSON_HEDLEY_GCC_HAS_WARNING(warning,major,minor,patch) __has_warning(warning) +#else + #define JSON_HEDLEY_GCC_HAS_WARNING(warning,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if \ + (defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 199901L)) || \ + defined(__clang__) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(18,4,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,7,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(2,0,1) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,1,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,0,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_CRAY_VERSION_CHECK(5,0,0) || \ + JSON_HEDLEY_TINYC_VERSION_CHECK(0,9,17) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(8,0,0) || \ + (JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) && defined(__C99_PRAGMA_OPERATOR)) + #define JSON_HEDLEY_PRAGMA(value) _Pragma(#value) +#elif JSON_HEDLEY_MSVC_VERSION_CHECK(15,0,0) + #define JSON_HEDLEY_PRAGMA(value) __pragma(value) +#else + #define JSON_HEDLEY_PRAGMA(value) +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_PUSH) + #undef JSON_HEDLEY_DIAGNOSTIC_PUSH +#endif +#if defined(JSON_HEDLEY_DIAGNOSTIC_POP) + #undef JSON_HEDLEY_DIAGNOSTIC_POP +#endif +#if defined(__clang__) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("clang diagnostic push") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("clang diagnostic pop") +#elif JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("warning(push)") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("warning(pop)") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(4,6,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("GCC diagnostic push") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("GCC diagnostic pop") +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(15,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH __pragma(warning(push)) + #define JSON_HEDLEY_DIAGNOSTIC_POP __pragma(warning(pop)) +#elif JSON_HEDLEY_ARM_VERSION_CHECK(5,6,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("push") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("pop") +#elif \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,4,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,1,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("diag_push") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("diag_pop") +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(2,90,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("warning(push)") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("warning(pop)") +#else + #define JSON_HEDLEY_DIAGNOSTIC_PUSH + #define JSON_HEDLEY_DIAGNOSTIC_POP +#endif + +/* JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_ is for + HEDLEY INTERNAL USE ONLY. API subject to change without notice. */ +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_ +#endif +#if defined(__cplusplus) +# if JSON_HEDLEY_HAS_WARNING("-Wc++98-compat") +# if JSON_HEDLEY_HAS_WARNING("-Wc++17-extensions") +# if JSON_HEDLEY_HAS_WARNING("-Wc++1z-extensions") +# define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(xpr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wc++98-compat\"") \ + _Pragma("clang diagnostic ignored \"-Wc++17-extensions\"") \ + _Pragma("clang diagnostic ignored \"-Wc++1z-extensions\"") \ + xpr \ + JSON_HEDLEY_DIAGNOSTIC_POP +# else +# define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(xpr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wc++98-compat\"") \ + _Pragma("clang diagnostic ignored \"-Wc++17-extensions\"") \ + xpr \ + JSON_HEDLEY_DIAGNOSTIC_POP +# endif +# else +# define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(xpr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wc++98-compat\"") \ + xpr \ + JSON_HEDLEY_DIAGNOSTIC_POP +# endif +# endif +#endif +#if !defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(x) x +#endif + +#if defined(JSON_HEDLEY_CONST_CAST) + #undef JSON_HEDLEY_CONST_CAST +#endif +#if defined(__cplusplus) +# define JSON_HEDLEY_CONST_CAST(T, expr) (const_cast(expr)) +#elif \ + JSON_HEDLEY_HAS_WARNING("-Wcast-qual") || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,6,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) +# define JSON_HEDLEY_CONST_CAST(T, expr) (__extension__ ({ \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL \ + ((T) (expr)); \ + JSON_HEDLEY_DIAGNOSTIC_POP \ + })) +#else +# define JSON_HEDLEY_CONST_CAST(T, expr) ((T) (expr)) +#endif + +#if defined(JSON_HEDLEY_REINTERPRET_CAST) + #undef JSON_HEDLEY_REINTERPRET_CAST +#endif +#if defined(__cplusplus) + #define JSON_HEDLEY_REINTERPRET_CAST(T, expr) (reinterpret_cast(expr)) +#else + #define JSON_HEDLEY_REINTERPRET_CAST(T, expr) ((T) (expr)) +#endif + +#if defined(JSON_HEDLEY_STATIC_CAST) + #undef JSON_HEDLEY_STATIC_CAST +#endif +#if defined(__cplusplus) + #define JSON_HEDLEY_STATIC_CAST(T, expr) (static_cast(expr)) +#else + #define JSON_HEDLEY_STATIC_CAST(T, expr) ((T) (expr)) +#endif + +#if defined(JSON_HEDLEY_CPP_CAST) + #undef JSON_HEDLEY_CPP_CAST +#endif +#if defined(__cplusplus) +# if JSON_HEDLEY_HAS_WARNING("-Wold-style-cast") +# define JSON_HEDLEY_CPP_CAST(T, expr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wold-style-cast\"") \ + ((T) (expr)) \ + JSON_HEDLEY_DIAGNOSTIC_POP +# elif JSON_HEDLEY_IAR_VERSION_CHECK(8,3,0) +# define JSON_HEDLEY_CPP_CAST(T, expr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("diag_suppress=Pe137") \ + JSON_HEDLEY_DIAGNOSTIC_POP +# else +# define JSON_HEDLEY_CPP_CAST(T, expr) ((T) (expr)) +# endif +#else +# define JSON_HEDLEY_CPP_CAST(T, expr) (expr) +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wdeprecated-declarations") + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("clang diagnostic ignored \"-Wdeprecated-declarations\"") +#elif JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("warning(disable:1478 1786)") +#elif JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED __pragma(warning(disable:1478 1786)) +#elif JSON_HEDLEY_PGI_VERSION_CHECK(20,7,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("diag_suppress 1215,1216,1444,1445") +#elif JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("diag_suppress 1215,1444") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(4,3,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("GCC diagnostic ignored \"-Wdeprecated-declarations\"") +#elif JSON_HEDLEY_MSVC_VERSION_CHECK(15,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED __pragma(warning(disable:4996)) +#elif JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("diag_suppress 1215,1444") +#elif \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("diag_suppress 1291,1718") +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,13,0) && !defined(__cplusplus) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("error_messages(off,E_DEPRECATED_ATT,E_DEPRECATED_ATT_MESS)") +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,13,0) && defined(__cplusplus) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("error_messages(off,symdeprecated,symdeprecated2)") +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("diag_suppress=Pe1444,Pe1215") +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(2,90,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("warn(disable:2241)") +#else + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wunknown-pragmas") + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("clang diagnostic ignored \"-Wunknown-pragmas\"") +#elif JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("warning(disable:161)") +#elif JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS __pragma(warning(disable:161)) +#elif JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("diag_suppress 1675") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(4,3,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("GCC diagnostic ignored \"-Wunknown-pragmas\"") +#elif JSON_HEDLEY_MSVC_VERSION_CHECK(15,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS __pragma(warning(disable:4068)) +#elif \ + JSON_HEDLEY_TI_VERSION_CHECK(16,9,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,0,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,3,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("diag_suppress 163") +#elif JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("diag_suppress 163") +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("diag_suppress=Pe161") +#elif JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("diag_suppress 161") +#else + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wunknown-attributes") + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("clang diagnostic ignored \"-Wunknown-attributes\"") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(4,6,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("GCC diagnostic ignored \"-Wdeprecated-declarations\"") +#elif JSON_HEDLEY_INTEL_VERSION_CHECK(17,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("warning(disable:1292)") +#elif JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES __pragma(warning(disable:1292)) +#elif JSON_HEDLEY_MSVC_VERSION_CHECK(19,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES __pragma(warning(disable:5030)) +#elif JSON_HEDLEY_PGI_VERSION_CHECK(20,7,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("diag_suppress 1097,1098") +#elif JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("diag_suppress 1097") +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,14,0) && defined(__cplusplus) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("error_messages(off,attrskipunsup)") +#elif \ + JSON_HEDLEY_TI_VERSION_CHECK(18,1,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,3,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("diag_suppress 1173") +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("diag_suppress=Pe1097") +#elif JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("diag_suppress 1097") +#else + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wcast-qual") + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL _Pragma("clang diagnostic ignored \"-Wcast-qual\"") +#elif JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL _Pragma("warning(disable:2203 2331)") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(3,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL _Pragma("GCC diagnostic ignored \"-Wcast-qual\"") +#else + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wunused-function") + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION _Pragma("clang diagnostic ignored \"-Wunused-function\"") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(3,4,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION _Pragma("GCC diagnostic ignored \"-Wunused-function\"") +#elif JSON_HEDLEY_MSVC_VERSION_CHECK(1,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION __pragma(warning(disable:4505)) +#elif JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION _Pragma("diag_suppress 3142") +#else + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION +#endif + +#if defined(JSON_HEDLEY_DEPRECATED) + #undef JSON_HEDLEY_DEPRECATED +#endif +#if defined(JSON_HEDLEY_DEPRECATED_FOR) + #undef JSON_HEDLEY_DEPRECATED_FOR +#endif +#if \ + JSON_HEDLEY_MSVC_VERSION_CHECK(14,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DEPRECATED(since) __declspec(deprecated("Since " # since)) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) __declspec(deprecated("Since " #since "; use " #replacement)) +#elif \ + (JSON_HEDLEY_HAS_EXTENSION(attribute_deprecated_with_message) && !defined(JSON_HEDLEY_IAR_VERSION)) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,5,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(5,6,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,13,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(18,1,0) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(18,1,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,3,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,3,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_DEPRECATED(since) __attribute__((__deprecated__("Since " #since))) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) __attribute__((__deprecated__("Since " #since "; use " #replacement))) +#elif defined(__cplusplus) && (__cplusplus >= 201402L) + #define JSON_HEDLEY_DEPRECATED(since) JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[deprecated("Since " #since)]]) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[deprecated("Since " #since "; use " #replacement)]]) +#elif \ + JSON_HEDLEY_HAS_ATTRIBUTE(deprecated) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,10,0) + #define JSON_HEDLEY_DEPRECATED(since) __attribute__((__deprecated__)) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) __attribute__((__deprecated__)) +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(13,10,0) || \ + JSON_HEDLEY_PELLES_VERSION_CHECK(6,50,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DEPRECATED(since) __declspec(deprecated) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) __declspec(deprecated) +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_DEPRECATED(since) _Pragma("deprecated") + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) _Pragma("deprecated") +#else + #define JSON_HEDLEY_DEPRECATED(since) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) +#endif + +#if defined(JSON_HEDLEY_UNAVAILABLE) + #undef JSON_HEDLEY_UNAVAILABLE +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(warning) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,3,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_UNAVAILABLE(available_since) __attribute__((__warning__("Not available until " #available_since))) +#else + #define JSON_HEDLEY_UNAVAILABLE(available_since) +#endif + +#if defined(JSON_HEDLEY_WARN_UNUSED_RESULT) + #undef JSON_HEDLEY_WARN_UNUSED_RESULT +#endif +#if defined(JSON_HEDLEY_WARN_UNUSED_RESULT_MSG) + #undef JSON_HEDLEY_WARN_UNUSED_RESULT_MSG +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(warn_unused_result) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,4,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + (JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,15,0) && defined(__cplusplus)) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_WARN_UNUSED_RESULT __attribute__((__warn_unused_result__)) + #define JSON_HEDLEY_WARN_UNUSED_RESULT_MSG(msg) __attribute__((__warn_unused_result__)) +#elif (JSON_HEDLEY_HAS_CPP_ATTRIBUTE(nodiscard) >= 201907L) + #define JSON_HEDLEY_WARN_UNUSED_RESULT JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[nodiscard]]) + #define JSON_HEDLEY_WARN_UNUSED_RESULT_MSG(msg) JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[nodiscard(msg)]]) +#elif JSON_HEDLEY_HAS_CPP_ATTRIBUTE(nodiscard) + #define JSON_HEDLEY_WARN_UNUSED_RESULT JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[nodiscard]]) + #define JSON_HEDLEY_WARN_UNUSED_RESULT_MSG(msg) JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[nodiscard]]) +#elif defined(_Check_return_) /* SAL */ + #define JSON_HEDLEY_WARN_UNUSED_RESULT _Check_return_ + #define JSON_HEDLEY_WARN_UNUSED_RESULT_MSG(msg) _Check_return_ +#else + #define JSON_HEDLEY_WARN_UNUSED_RESULT + #define JSON_HEDLEY_WARN_UNUSED_RESULT_MSG(msg) +#endif + +#if defined(JSON_HEDLEY_SENTINEL) + #undef JSON_HEDLEY_SENTINEL +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(sentinel) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(5,4,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_SENTINEL(position) __attribute__((__sentinel__(position))) +#else + #define JSON_HEDLEY_SENTINEL(position) +#endif + +#if defined(JSON_HEDLEY_NO_RETURN) + #undef JSON_HEDLEY_NO_RETURN +#endif +#if JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_NO_RETURN __noreturn +#elif \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_NO_RETURN __attribute__((__noreturn__)) +#elif defined(__STDC_VERSION__) && __STDC_VERSION__ >= 201112L + #define JSON_HEDLEY_NO_RETURN _Noreturn +#elif defined(__cplusplus) && (__cplusplus >= 201103L) + #define JSON_HEDLEY_NO_RETURN JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[noreturn]]) +#elif \ + JSON_HEDLEY_HAS_ATTRIBUTE(noreturn) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,2,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,10,0) + #define JSON_HEDLEY_NO_RETURN __attribute__((__noreturn__)) +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,10,0) + #define JSON_HEDLEY_NO_RETURN _Pragma("does_not_return") +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(13,10,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_NO_RETURN __declspec(noreturn) +#elif JSON_HEDLEY_TI_CL6X_VERSION_CHECK(6,0,0) && defined(__cplusplus) + #define JSON_HEDLEY_NO_RETURN _Pragma("FUNC_NEVER_RETURNS;") +#elif JSON_HEDLEY_COMPCERT_VERSION_CHECK(3,2,0) + #define JSON_HEDLEY_NO_RETURN __attribute((noreturn)) +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(9,0,0) + #define JSON_HEDLEY_NO_RETURN __declspec(noreturn) +#else + #define JSON_HEDLEY_NO_RETURN +#endif + +#if defined(JSON_HEDLEY_NO_ESCAPE) + #undef JSON_HEDLEY_NO_ESCAPE +#endif +#if JSON_HEDLEY_HAS_ATTRIBUTE(noescape) + #define JSON_HEDLEY_NO_ESCAPE __attribute__((__noescape__)) +#else + #define JSON_HEDLEY_NO_ESCAPE +#endif + +#if defined(JSON_HEDLEY_UNREACHABLE) + #undef JSON_HEDLEY_UNREACHABLE +#endif +#if defined(JSON_HEDLEY_UNREACHABLE_RETURN) + #undef JSON_HEDLEY_UNREACHABLE_RETURN +#endif +#if defined(JSON_HEDLEY_ASSUME) + #undef JSON_HEDLEY_ASSUME +#endif +#if \ + JSON_HEDLEY_MSVC_VERSION_CHECK(13,10,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_ASSUME(expr) __assume(expr) +#elif JSON_HEDLEY_HAS_BUILTIN(__builtin_assume) + #define JSON_HEDLEY_ASSUME(expr) __builtin_assume(expr) +#elif \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,2,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(4,0,0) + #if defined(__cplusplus) + #define JSON_HEDLEY_ASSUME(expr) std::_nassert(expr) + #else + #define JSON_HEDLEY_ASSUME(expr) _nassert(expr) + #endif +#endif +#if \ + (JSON_HEDLEY_HAS_BUILTIN(__builtin_unreachable) && (!defined(JSON_HEDLEY_ARM_VERSION))) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,5,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(18,10,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(13,1,5) || \ + JSON_HEDLEY_CRAY_VERSION_CHECK(10,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_UNREACHABLE() __builtin_unreachable() +#elif defined(JSON_HEDLEY_ASSUME) + #define JSON_HEDLEY_UNREACHABLE() JSON_HEDLEY_ASSUME(0) +#endif +#if !defined(JSON_HEDLEY_ASSUME) + #if defined(JSON_HEDLEY_UNREACHABLE) + #define JSON_HEDLEY_ASSUME(expr) JSON_HEDLEY_STATIC_CAST(void, ((expr) ? 1 : (JSON_HEDLEY_UNREACHABLE(), 1))) + #else + #define JSON_HEDLEY_ASSUME(expr) JSON_HEDLEY_STATIC_CAST(void, expr) + #endif +#endif +#if defined(JSON_HEDLEY_UNREACHABLE) + #if \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,2,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(4,0,0) + #define JSON_HEDLEY_UNREACHABLE_RETURN(value) return (JSON_HEDLEY_STATIC_CAST(void, JSON_HEDLEY_ASSUME(0)), (value)) + #else + #define JSON_HEDLEY_UNREACHABLE_RETURN(value) JSON_HEDLEY_UNREACHABLE() + #endif +#else + #define JSON_HEDLEY_UNREACHABLE_RETURN(value) return (value) +#endif +#if !defined(JSON_HEDLEY_UNREACHABLE) + #define JSON_HEDLEY_UNREACHABLE() JSON_HEDLEY_ASSUME(0) +#endif + +JSON_HEDLEY_DIAGNOSTIC_PUSH +#if JSON_HEDLEY_HAS_WARNING("-Wpedantic") + #pragma clang diagnostic ignored "-Wpedantic" +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wc++98-compat-pedantic") && defined(__cplusplus) + #pragma clang diagnostic ignored "-Wc++98-compat-pedantic" +#endif +#if JSON_HEDLEY_GCC_HAS_WARNING("-Wvariadic-macros",4,0,0) + #if defined(__clang__) + #pragma clang diagnostic ignored "-Wvariadic-macros" + #elif defined(JSON_HEDLEY_GCC_VERSION) + #pragma GCC diagnostic ignored "-Wvariadic-macros" + #endif +#endif +#if defined(JSON_HEDLEY_NON_NULL) + #undef JSON_HEDLEY_NON_NULL +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(nonnull) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,3,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) + #define JSON_HEDLEY_NON_NULL(...) __attribute__((__nonnull__(__VA_ARGS__))) +#else + #define JSON_HEDLEY_NON_NULL(...) +#endif +JSON_HEDLEY_DIAGNOSTIC_POP + +#if defined(JSON_HEDLEY_PRINTF_FORMAT) + #undef JSON_HEDLEY_PRINTF_FORMAT +#endif +#if defined(__MINGW32__) && JSON_HEDLEY_GCC_HAS_ATTRIBUTE(format,4,4,0) && !defined(__USE_MINGW_ANSI_STDIO) + #define JSON_HEDLEY_PRINTF_FORMAT(string_idx,first_to_check) __attribute__((__format__(ms_printf, string_idx, first_to_check))) +#elif defined(__MINGW32__) && JSON_HEDLEY_GCC_HAS_ATTRIBUTE(format,4,4,0) && defined(__USE_MINGW_ANSI_STDIO) + #define JSON_HEDLEY_PRINTF_FORMAT(string_idx,first_to_check) __attribute__((__format__(gnu_printf, string_idx, first_to_check))) +#elif \ + JSON_HEDLEY_HAS_ATTRIBUTE(format) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(5,6,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_PRINTF_FORMAT(string_idx,first_to_check) __attribute__((__format__(__printf__, string_idx, first_to_check))) +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(6,0,0) + #define JSON_HEDLEY_PRINTF_FORMAT(string_idx,first_to_check) __declspec(vaformat(printf,string_idx,first_to_check)) +#else + #define JSON_HEDLEY_PRINTF_FORMAT(string_idx,first_to_check) +#endif + +#if defined(JSON_HEDLEY_CONSTEXPR) + #undef JSON_HEDLEY_CONSTEXPR +#endif +#if defined(__cplusplus) + #if __cplusplus >= 201103L + #define JSON_HEDLEY_CONSTEXPR JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(constexpr) + #endif +#endif +#if !defined(JSON_HEDLEY_CONSTEXPR) + #define JSON_HEDLEY_CONSTEXPR +#endif + +#if defined(JSON_HEDLEY_PREDICT) + #undef JSON_HEDLEY_PREDICT +#endif +#if defined(JSON_HEDLEY_LIKELY) + #undef JSON_HEDLEY_LIKELY +#endif +#if defined(JSON_HEDLEY_UNLIKELY) + #undef JSON_HEDLEY_UNLIKELY +#endif +#if defined(JSON_HEDLEY_UNPREDICTABLE) + #undef JSON_HEDLEY_UNPREDICTABLE +#endif +#if JSON_HEDLEY_HAS_BUILTIN(__builtin_unpredictable) + #define JSON_HEDLEY_UNPREDICTABLE(expr) __builtin_unpredictable((expr)) +#endif +#if \ + (JSON_HEDLEY_HAS_BUILTIN(__builtin_expect_with_probability) && !defined(JSON_HEDLEY_PGI_VERSION)) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(9,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) +# define JSON_HEDLEY_PREDICT(expr, value, probability) __builtin_expect_with_probability( (expr), (value), (probability)) +# define JSON_HEDLEY_PREDICT_TRUE(expr, probability) __builtin_expect_with_probability(!!(expr), 1 , (probability)) +# define JSON_HEDLEY_PREDICT_FALSE(expr, probability) __builtin_expect_with_probability(!!(expr), 0 , (probability)) +# define JSON_HEDLEY_LIKELY(expr) __builtin_expect (!!(expr), 1 ) +# define JSON_HEDLEY_UNLIKELY(expr) __builtin_expect (!!(expr), 0 ) +#elif \ + (JSON_HEDLEY_HAS_BUILTIN(__builtin_expect) && !defined(JSON_HEDLEY_INTEL_CL_VERSION)) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + (JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,15,0) && defined(__cplusplus)) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,7,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,1,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(6,1,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_TINYC_VERSION_CHECK(0,9,27) || \ + JSON_HEDLEY_CRAY_VERSION_CHECK(8,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) +# define JSON_HEDLEY_PREDICT(expr, expected, probability) \ + (((probability) >= 0.9) ? __builtin_expect((expr), (expected)) : (JSON_HEDLEY_STATIC_CAST(void, expected), (expr))) +# define JSON_HEDLEY_PREDICT_TRUE(expr, probability) \ + (__extension__ ({ \ + double hedley_probability_ = (probability); \ + ((hedley_probability_ >= 0.9) ? __builtin_expect(!!(expr), 1) : ((hedley_probability_ <= 0.1) ? __builtin_expect(!!(expr), 0) : !!(expr))); \ + })) +# define JSON_HEDLEY_PREDICT_FALSE(expr, probability) \ + (__extension__ ({ \ + double hedley_probability_ = (probability); \ + ((hedley_probability_ >= 0.9) ? __builtin_expect(!!(expr), 0) : ((hedley_probability_ <= 0.1) ? __builtin_expect(!!(expr), 1) : !!(expr))); \ + })) +# define JSON_HEDLEY_LIKELY(expr) __builtin_expect(!!(expr), 1) +# define JSON_HEDLEY_UNLIKELY(expr) __builtin_expect(!!(expr), 0) +#else +# define JSON_HEDLEY_PREDICT(expr, expected, probability) (JSON_HEDLEY_STATIC_CAST(void, expected), (expr)) +# define JSON_HEDLEY_PREDICT_TRUE(expr, probability) (!!(expr)) +# define JSON_HEDLEY_PREDICT_FALSE(expr, probability) (!!(expr)) +# define JSON_HEDLEY_LIKELY(expr) (!!(expr)) +# define JSON_HEDLEY_UNLIKELY(expr) (!!(expr)) +#endif +#if !defined(JSON_HEDLEY_UNPREDICTABLE) + #define JSON_HEDLEY_UNPREDICTABLE(expr) JSON_HEDLEY_PREDICT(expr, 1, 0.5) +#endif + +#if defined(JSON_HEDLEY_MALLOC) + #undef JSON_HEDLEY_MALLOC +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(malloc) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(12,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_MALLOC __attribute__((__malloc__)) +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,10,0) + #define JSON_HEDLEY_MALLOC _Pragma("returns_new_memory") +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(14,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_MALLOC __declspec(restrict) +#else + #define JSON_HEDLEY_MALLOC +#endif + +#if defined(JSON_HEDLEY_PURE) + #undef JSON_HEDLEY_PURE +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(pure) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(2,96,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) +# define JSON_HEDLEY_PURE __attribute__((__pure__)) +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,10,0) +# define JSON_HEDLEY_PURE _Pragma("does_not_write_global_data") +#elif defined(__cplusplus) && \ + ( \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(2,0,1) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(4,0,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) \ + ) +# define JSON_HEDLEY_PURE _Pragma("FUNC_IS_PURE;") +#else +# define JSON_HEDLEY_PURE +#endif + +#if defined(JSON_HEDLEY_CONST) + #undef JSON_HEDLEY_CONST +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(const) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(2,5,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_CONST __attribute__((__const__)) +#elif \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,10,0) + #define JSON_HEDLEY_CONST _Pragma("no_side_effect") +#else + #define JSON_HEDLEY_CONST JSON_HEDLEY_PURE +#endif + +#if defined(JSON_HEDLEY_RESTRICT) + #undef JSON_HEDLEY_RESTRICT +#endif +#if defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 199901L) && !defined(__cplusplus) + #define JSON_HEDLEY_RESTRICT restrict +#elif \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_MSVC_VERSION_CHECK(14,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,2,4) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,1,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + (JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,14,0) && defined(__cplusplus)) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) || \ + defined(__clang__) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_RESTRICT __restrict +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,3,0) && !defined(__cplusplus) + #define JSON_HEDLEY_RESTRICT _Restrict +#else + #define JSON_HEDLEY_RESTRICT +#endif + +#if defined(JSON_HEDLEY_INLINE) + #undef JSON_HEDLEY_INLINE +#endif +#if \ + (defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 199901L)) || \ + (defined(__cplusplus) && (__cplusplus >= 199711L)) + #define JSON_HEDLEY_INLINE inline +#elif \ + defined(JSON_HEDLEY_GCC_VERSION) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(6,2,0) + #define JSON_HEDLEY_INLINE __inline__ +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(12,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,1,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,2,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,0,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_INLINE __inline +#else + #define JSON_HEDLEY_INLINE +#endif + +#if defined(JSON_HEDLEY_ALWAYS_INLINE) + #undef JSON_HEDLEY_ALWAYS_INLINE +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(always_inline) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,10,0) +# define JSON_HEDLEY_ALWAYS_INLINE __attribute__((__always_inline__)) JSON_HEDLEY_INLINE +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(12,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) +# define JSON_HEDLEY_ALWAYS_INLINE __forceinline +#elif defined(__cplusplus) && \ + ( \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(6,1,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) \ + ) +# define JSON_HEDLEY_ALWAYS_INLINE _Pragma("FUNC_ALWAYS_INLINE;") +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) +# define JSON_HEDLEY_ALWAYS_INLINE _Pragma("inline=forced") +#else +# define JSON_HEDLEY_ALWAYS_INLINE JSON_HEDLEY_INLINE +#endif + +#if defined(JSON_HEDLEY_NEVER_INLINE) + #undef JSON_HEDLEY_NEVER_INLINE +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(noinline) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,10,0) + #define JSON_HEDLEY_NEVER_INLINE __attribute__((__noinline__)) +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(13,10,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_NEVER_INLINE __declspec(noinline) +#elif JSON_HEDLEY_PGI_VERSION_CHECK(10,2,0) + #define JSON_HEDLEY_NEVER_INLINE _Pragma("noinline") +#elif JSON_HEDLEY_TI_CL6X_VERSION_CHECK(6,0,0) && defined(__cplusplus) + #define JSON_HEDLEY_NEVER_INLINE _Pragma("FUNC_CANNOT_INLINE;") +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_NEVER_INLINE _Pragma("inline=never") +#elif JSON_HEDLEY_COMPCERT_VERSION_CHECK(3,2,0) + #define JSON_HEDLEY_NEVER_INLINE __attribute((noinline)) +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(9,0,0) + #define JSON_HEDLEY_NEVER_INLINE __declspec(noinline) +#else + #define JSON_HEDLEY_NEVER_INLINE +#endif + +#if defined(JSON_HEDLEY_PRIVATE) + #undef JSON_HEDLEY_PRIVATE +#endif +#if defined(JSON_HEDLEY_PUBLIC) + #undef JSON_HEDLEY_PUBLIC +#endif +#if defined(JSON_HEDLEY_IMPORT) + #undef JSON_HEDLEY_IMPORT +#endif +#if defined(_WIN32) || defined(__CYGWIN__) +# define JSON_HEDLEY_PRIVATE +# define JSON_HEDLEY_PUBLIC __declspec(dllexport) +# define JSON_HEDLEY_IMPORT __declspec(dllimport) +#else +# if \ + JSON_HEDLEY_HAS_ATTRIBUTE(visibility) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,3,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(13,1,0) || \ + ( \ + defined(__TI_EABI__) && \ + ( \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) \ + ) \ + ) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) +# define JSON_HEDLEY_PRIVATE __attribute__((__visibility__("hidden"))) +# define JSON_HEDLEY_PUBLIC __attribute__((__visibility__("default"))) +# else +# define JSON_HEDLEY_PRIVATE +# define JSON_HEDLEY_PUBLIC +# endif +# define JSON_HEDLEY_IMPORT extern +#endif + +#if defined(JSON_HEDLEY_NO_THROW) + #undef JSON_HEDLEY_NO_THROW +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(nothrow) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,3,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_NO_THROW __attribute__((__nothrow__)) +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(13,1,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) + #define JSON_HEDLEY_NO_THROW __declspec(nothrow) +#else + #define JSON_HEDLEY_NO_THROW +#endif + +#if defined(JSON_HEDLEY_FALL_THROUGH) + #undef JSON_HEDLEY_FALL_THROUGH +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(fallthrough) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(7,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_FALL_THROUGH __attribute__((__fallthrough__)) +#elif JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS(clang,fallthrough) + #define JSON_HEDLEY_FALL_THROUGH JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[clang::fallthrough]]) +#elif JSON_HEDLEY_HAS_CPP_ATTRIBUTE(fallthrough) + #define JSON_HEDLEY_FALL_THROUGH JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[fallthrough]]) +#elif defined(__fallthrough) /* SAL */ + #define JSON_HEDLEY_FALL_THROUGH __fallthrough +#else + #define JSON_HEDLEY_FALL_THROUGH +#endif + +#if defined(JSON_HEDLEY_RETURNS_NON_NULL) + #undef JSON_HEDLEY_RETURNS_NON_NULL +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(returns_nonnull) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,9,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_RETURNS_NON_NULL __attribute__((__returns_nonnull__)) +#elif defined(_Ret_notnull_) /* SAL */ + #define JSON_HEDLEY_RETURNS_NON_NULL _Ret_notnull_ +#else + #define JSON_HEDLEY_RETURNS_NON_NULL +#endif + +#if defined(JSON_HEDLEY_ARRAY_PARAM) + #undef JSON_HEDLEY_ARRAY_PARAM +#endif +#if \ + defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 199901L) && \ + !defined(__STDC_NO_VLA__) && \ + !defined(__cplusplus) && \ + !defined(JSON_HEDLEY_PGI_VERSION) && \ + !defined(JSON_HEDLEY_TINYC_VERSION) + #define JSON_HEDLEY_ARRAY_PARAM(name) (name) +#else + #define JSON_HEDLEY_ARRAY_PARAM(name) +#endif + +#if defined(JSON_HEDLEY_IS_CONSTANT) + #undef JSON_HEDLEY_IS_CONSTANT +#endif +#if defined(JSON_HEDLEY_REQUIRE_CONSTEXPR) + #undef JSON_HEDLEY_REQUIRE_CONSTEXPR +#endif +/* JSON_HEDLEY_IS_CONSTEXPR_ is for + HEDLEY INTERNAL USE ONLY. API subject to change without notice. */ +#if defined(JSON_HEDLEY_IS_CONSTEXPR_) + #undef JSON_HEDLEY_IS_CONSTEXPR_ +#endif +#if \ + JSON_HEDLEY_HAS_BUILTIN(__builtin_constant_p) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,4,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_TINYC_VERSION_CHECK(0,9,19) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(13,1,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(6,1,0) || \ + (JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,10,0) && !defined(__cplusplus)) || \ + JSON_HEDLEY_CRAY_VERSION_CHECK(8,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_IS_CONSTANT(expr) __builtin_constant_p(expr) +#endif +#if !defined(__cplusplus) +# if \ + JSON_HEDLEY_HAS_BUILTIN(__builtin_types_compatible_p) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,4,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(13,1,0) || \ + JSON_HEDLEY_CRAY_VERSION_CHECK(8,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(5,4,0) || \ + JSON_HEDLEY_TINYC_VERSION_CHECK(0,9,24) +#if defined(__INTPTR_TYPE__) + #define JSON_HEDLEY_IS_CONSTEXPR_(expr) __builtin_types_compatible_p(__typeof__((1 ? (void*) ((__INTPTR_TYPE__) ((expr) * 0)) : (int*) 0)), int*) +#else + #include + #define JSON_HEDLEY_IS_CONSTEXPR_(expr) __builtin_types_compatible_p(__typeof__((1 ? (void*) ((intptr_t) ((expr) * 0)) : (int*) 0)), int*) +#endif +# elif \ + ( \ + defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 201112L) && \ + !defined(JSON_HEDLEY_SUNPRO_VERSION) && \ + !defined(JSON_HEDLEY_PGI_VERSION) && \ + !defined(JSON_HEDLEY_IAR_VERSION)) || \ + (JSON_HEDLEY_HAS_EXTENSION(c_generic_selections) && !defined(JSON_HEDLEY_IAR_VERSION)) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,9,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(17,0,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(12,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(5,3,0) +#if defined(__INTPTR_TYPE__) + #define JSON_HEDLEY_IS_CONSTEXPR_(expr) _Generic((1 ? (void*) ((__INTPTR_TYPE__) ((expr) * 0)) : (int*) 0), int*: 1, void*: 0) +#else + #include + #define JSON_HEDLEY_IS_CONSTEXPR_(expr) _Generic((1 ? (void*) ((intptr_t) * 0) : (int*) 0), int*: 1, void*: 0) +#endif +# elif \ + defined(JSON_HEDLEY_GCC_VERSION) || \ + defined(JSON_HEDLEY_INTEL_VERSION) || \ + defined(JSON_HEDLEY_TINYC_VERSION) || \ + defined(JSON_HEDLEY_TI_ARMCL_VERSION) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(18,12,0) || \ + defined(JSON_HEDLEY_TI_CL2000_VERSION) || \ + defined(JSON_HEDLEY_TI_CL6X_VERSION) || \ + defined(JSON_HEDLEY_TI_CL7X_VERSION) || \ + defined(JSON_HEDLEY_TI_CLPRU_VERSION) || \ + defined(__clang__) +# define JSON_HEDLEY_IS_CONSTEXPR_(expr) ( \ + sizeof(void) != \ + sizeof(*( \ + 1 ? \ + ((void*) ((expr) * 0L) ) : \ +((struct { char v[sizeof(void) * 2]; } *) 1) \ + ) \ + ) \ + ) +# endif +#endif +#if defined(JSON_HEDLEY_IS_CONSTEXPR_) + #if !defined(JSON_HEDLEY_IS_CONSTANT) + #define JSON_HEDLEY_IS_CONSTANT(expr) JSON_HEDLEY_IS_CONSTEXPR_(expr) + #endif + #define JSON_HEDLEY_REQUIRE_CONSTEXPR(expr) (JSON_HEDLEY_IS_CONSTEXPR_(expr) ? (expr) : (-1)) +#else + #if !defined(JSON_HEDLEY_IS_CONSTANT) + #define JSON_HEDLEY_IS_CONSTANT(expr) (0) + #endif + #define JSON_HEDLEY_REQUIRE_CONSTEXPR(expr) (expr) +#endif + +#if defined(JSON_HEDLEY_BEGIN_C_DECLS) + #undef JSON_HEDLEY_BEGIN_C_DECLS +#endif +#if defined(JSON_HEDLEY_END_C_DECLS) + #undef JSON_HEDLEY_END_C_DECLS +#endif +#if defined(JSON_HEDLEY_C_DECL) + #undef JSON_HEDLEY_C_DECL +#endif +#if defined(__cplusplus) + #define JSON_HEDLEY_BEGIN_C_DECLS extern "C" { + #define JSON_HEDLEY_END_C_DECLS } + #define JSON_HEDLEY_C_DECL extern "C" +#else + #define JSON_HEDLEY_BEGIN_C_DECLS + #define JSON_HEDLEY_END_C_DECLS + #define JSON_HEDLEY_C_DECL +#endif + +#if defined(JSON_HEDLEY_STATIC_ASSERT) + #undef JSON_HEDLEY_STATIC_ASSERT +#endif +#if \ + !defined(__cplusplus) && ( \ + (defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 201112L)) || \ + (JSON_HEDLEY_HAS_FEATURE(c_static_assert) && !defined(JSON_HEDLEY_INTEL_CL_VERSION)) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(6,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + defined(_Static_assert) \ + ) +# define JSON_HEDLEY_STATIC_ASSERT(expr, message) _Static_assert(expr, message) +#elif \ + (defined(__cplusplus) && (__cplusplus >= 201103L)) || \ + JSON_HEDLEY_MSVC_VERSION_CHECK(16,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) +# define JSON_HEDLEY_STATIC_ASSERT(expr, message) JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(static_assert(expr, message)) +#else +# define JSON_HEDLEY_STATIC_ASSERT(expr, message) +#endif + +#if defined(JSON_HEDLEY_NULL) + #undef JSON_HEDLEY_NULL +#endif +#if defined(__cplusplus) + #if __cplusplus >= 201103L + #define JSON_HEDLEY_NULL JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(nullptr) + #elif defined(NULL) + #define JSON_HEDLEY_NULL NULL + #else + #define JSON_HEDLEY_NULL JSON_HEDLEY_STATIC_CAST(void*, 0) + #endif +#elif defined(NULL) + #define JSON_HEDLEY_NULL NULL +#else + #define JSON_HEDLEY_NULL ((void*) 0) +#endif + +#if defined(JSON_HEDLEY_MESSAGE) + #undef JSON_HEDLEY_MESSAGE +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wunknown-pragmas") +# define JSON_HEDLEY_MESSAGE(msg) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS \ + JSON_HEDLEY_PRAGMA(message msg) \ + JSON_HEDLEY_DIAGNOSTIC_POP +#elif \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,4,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) +# define JSON_HEDLEY_MESSAGE(msg) JSON_HEDLEY_PRAGMA(message msg) +#elif JSON_HEDLEY_CRAY_VERSION_CHECK(5,0,0) +# define JSON_HEDLEY_MESSAGE(msg) JSON_HEDLEY_PRAGMA(_CRI message msg) +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) +# define JSON_HEDLEY_MESSAGE(msg) JSON_HEDLEY_PRAGMA(message(msg)) +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(2,0,0) +# define JSON_HEDLEY_MESSAGE(msg) JSON_HEDLEY_PRAGMA(message(msg)) +#else +# define JSON_HEDLEY_MESSAGE(msg) +#endif + +#if defined(JSON_HEDLEY_WARNING) + #undef JSON_HEDLEY_WARNING +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wunknown-pragmas") +# define JSON_HEDLEY_WARNING(msg) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS \ + JSON_HEDLEY_PRAGMA(clang warning msg) \ + JSON_HEDLEY_DIAGNOSTIC_POP +#elif \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,8,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(18,4,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) +# define JSON_HEDLEY_WARNING(msg) JSON_HEDLEY_PRAGMA(GCC warning msg) +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(15,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) +# define JSON_HEDLEY_WARNING(msg) JSON_HEDLEY_PRAGMA(message(msg)) +#else +# define JSON_HEDLEY_WARNING(msg) JSON_HEDLEY_MESSAGE(msg) +#endif + +#if defined(JSON_HEDLEY_REQUIRE) + #undef JSON_HEDLEY_REQUIRE +#endif +#if defined(JSON_HEDLEY_REQUIRE_MSG) + #undef JSON_HEDLEY_REQUIRE_MSG +#endif +#if JSON_HEDLEY_HAS_ATTRIBUTE(diagnose_if) +# if JSON_HEDLEY_HAS_WARNING("-Wgcc-compat") +# define JSON_HEDLEY_REQUIRE(expr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wgcc-compat\"") \ + __attribute__((diagnose_if(!(expr), #expr, "error"))) \ + JSON_HEDLEY_DIAGNOSTIC_POP +# define JSON_HEDLEY_REQUIRE_MSG(expr,msg) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wgcc-compat\"") \ + __attribute__((diagnose_if(!(expr), msg, "error"))) \ + JSON_HEDLEY_DIAGNOSTIC_POP +# else +# define JSON_HEDLEY_REQUIRE(expr) __attribute__((diagnose_if(!(expr), #expr, "error"))) +# define JSON_HEDLEY_REQUIRE_MSG(expr,msg) __attribute__((diagnose_if(!(expr), msg, "error"))) +# endif +#else +# define JSON_HEDLEY_REQUIRE(expr) +# define JSON_HEDLEY_REQUIRE_MSG(expr,msg) +#endif + +#if defined(JSON_HEDLEY_FLAGS) + #undef JSON_HEDLEY_FLAGS +#endif +#if JSON_HEDLEY_HAS_ATTRIBUTE(flag_enum) && (!defined(__cplusplus) || JSON_HEDLEY_HAS_WARNING("-Wbitfield-enum-conversion")) + #define JSON_HEDLEY_FLAGS __attribute__((__flag_enum__)) +#else + #define JSON_HEDLEY_FLAGS +#endif + +#if defined(JSON_HEDLEY_FLAGS_CAST) + #undef JSON_HEDLEY_FLAGS_CAST +#endif +#if JSON_HEDLEY_INTEL_VERSION_CHECK(19,0,0) +# define JSON_HEDLEY_FLAGS_CAST(T, expr) (__extension__ ({ \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("warning(disable:188)") \ + ((T) (expr)); \ + JSON_HEDLEY_DIAGNOSTIC_POP \ + })) +#else +# define JSON_HEDLEY_FLAGS_CAST(T, expr) JSON_HEDLEY_STATIC_CAST(T, expr) +#endif + +#if defined(JSON_HEDLEY_EMPTY_BASES) + #undef JSON_HEDLEY_EMPTY_BASES +#endif +#if \ + (JSON_HEDLEY_MSVC_VERSION_CHECK(19,0,23918) && !JSON_HEDLEY_MSVC_VERSION_CHECK(20,0,0)) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_EMPTY_BASES __declspec(empty_bases) +#else + #define JSON_HEDLEY_EMPTY_BASES +#endif + +/* Remaining macros are deprecated. */ + +#if defined(JSON_HEDLEY_GCC_NOT_CLANG_VERSION_CHECK) + #undef JSON_HEDLEY_GCC_NOT_CLANG_VERSION_CHECK +#endif +#if defined(__clang__) + #define JSON_HEDLEY_GCC_NOT_CLANG_VERSION_CHECK(major,minor,patch) (0) +#else + #define JSON_HEDLEY_GCC_NOT_CLANG_VERSION_CHECK(major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_CLANG_HAS_ATTRIBUTE) + #undef JSON_HEDLEY_CLANG_HAS_ATTRIBUTE +#endif +#define JSON_HEDLEY_CLANG_HAS_ATTRIBUTE(attribute) JSON_HEDLEY_HAS_ATTRIBUTE(attribute) + +#if defined(JSON_HEDLEY_CLANG_HAS_CPP_ATTRIBUTE) + #undef JSON_HEDLEY_CLANG_HAS_CPP_ATTRIBUTE +#endif +#define JSON_HEDLEY_CLANG_HAS_CPP_ATTRIBUTE(attribute) JSON_HEDLEY_HAS_CPP_ATTRIBUTE(attribute) + +#if defined(JSON_HEDLEY_CLANG_HAS_BUILTIN) + #undef JSON_HEDLEY_CLANG_HAS_BUILTIN +#endif +#define JSON_HEDLEY_CLANG_HAS_BUILTIN(builtin) JSON_HEDLEY_HAS_BUILTIN(builtin) + +#if defined(JSON_HEDLEY_CLANG_HAS_FEATURE) + #undef JSON_HEDLEY_CLANG_HAS_FEATURE +#endif +#define JSON_HEDLEY_CLANG_HAS_FEATURE(feature) JSON_HEDLEY_HAS_FEATURE(feature) + +#if defined(JSON_HEDLEY_CLANG_HAS_EXTENSION) + #undef JSON_HEDLEY_CLANG_HAS_EXTENSION +#endif +#define JSON_HEDLEY_CLANG_HAS_EXTENSION(extension) JSON_HEDLEY_HAS_EXTENSION(extension) + +#if defined(JSON_HEDLEY_CLANG_HAS_DECLSPEC_DECLSPEC_ATTRIBUTE) + #undef JSON_HEDLEY_CLANG_HAS_DECLSPEC_DECLSPEC_ATTRIBUTE +#endif +#define JSON_HEDLEY_CLANG_HAS_DECLSPEC_ATTRIBUTE(attribute) JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE(attribute) + +#if defined(JSON_HEDLEY_CLANG_HAS_WARNING) + #undef JSON_HEDLEY_CLANG_HAS_WARNING +#endif +#define JSON_HEDLEY_CLANG_HAS_WARNING(warning) JSON_HEDLEY_HAS_WARNING(warning) + +#endif /* !defined(JSON_HEDLEY_VERSION) || (JSON_HEDLEY_VERSION < X) */ + +// #include + + +#include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +template struct make_void +{ + using type = void; +}; +template using void_t = typename make_void::type; +} // namespace detail +} // namespace nlohmann + + +// https://en.cppreference.com/w/cpp/experimental/is_detected +namespace nlohmann +{ +namespace detail +{ +struct nonesuch +{ + nonesuch() = delete; + ~nonesuch() = delete; + nonesuch(nonesuch const&) = delete; + nonesuch(nonesuch const&&) = delete; + void operator=(nonesuch const&) = delete; + void operator=(nonesuch&&) = delete; +}; + +template class Op, + class... Args> +struct detector +{ + using value_t = std::false_type; + using type = Default; +}; + +template class Op, class... Args> +struct detector>, Op, Args...> +{ + using value_t = std::true_type; + using type = Op; +}; + +template class Op, class... Args> +using is_detected = typename detector::value_t; + +template class Op, class... Args> +struct is_detected_lazy : is_detected { }; + +template class Op, class... Args> +using detected_t = typename detector::type; + +template class Op, class... Args> +using detected_or = detector; + +template class Op, class... Args> +using detected_or_t = typename detected_or::type; + +template class Op, class... Args> +using is_detected_exact = std::is_same>; + +template class Op, class... Args> +using is_detected_convertible = + std::is_convertible, To>; +} // namespace detail +} // namespace nlohmann + + +// This file contains all internal macro definitions +// You MUST include macro_unscope.hpp at the end of json.hpp to undef all of them + +// exclude unsupported compilers +#if !defined(JSON_SKIP_UNSUPPORTED_COMPILER_CHECK) + #if defined(__clang__) + #if (__clang_major__ * 10000 + __clang_minor__ * 100 + __clang_patchlevel__) < 30400 + #error "unsupported Clang version - see https://github.com/nlohmann/json#supported-compilers" + #endif + #elif defined(__GNUC__) && !(defined(__ICC) || defined(__INTEL_COMPILER)) + #if (__GNUC__ * 10000 + __GNUC_MINOR__ * 100 + __GNUC_PATCHLEVEL__) < 40800 + #error "unsupported GCC version - see https://github.com/nlohmann/json#supported-compilers" + #endif + #endif +#endif + +// C++ language standard detection +// if the user manually specified the used c++ version this is skipped +#if !defined(JSON_HAS_CPP_20) && !defined(JSON_HAS_CPP_17) && !defined(JSON_HAS_CPP_14) && !defined(JSON_HAS_CPP_11) + #if (defined(__cplusplus) && __cplusplus >= 202002L) || (defined(_MSVC_LANG) && _MSVC_LANG >= 202002L) + #define JSON_HAS_CPP_20 + #define JSON_HAS_CPP_17 + #define JSON_HAS_CPP_14 + #elif (defined(__cplusplus) && __cplusplus >= 201703L) || (defined(_HAS_CXX17) && _HAS_CXX17 == 1) // fix for issue #464 + #define JSON_HAS_CPP_17 + #define JSON_HAS_CPP_14 + #elif (defined(__cplusplus) && __cplusplus >= 201402L) || (defined(_HAS_CXX14) && _HAS_CXX14 == 1) + #define JSON_HAS_CPP_14 + #endif + // the cpp 11 flag is always specified because it is the minimal required version + #define JSON_HAS_CPP_11 +#endif + +#if !defined(JSON_HAS_FILESYSTEM) && !defined(JSON_HAS_EXPERIMENTAL_FILESYSTEM) + #ifdef JSON_HAS_CPP_17 + #if defined(__cpp_lib_filesystem) + #define JSON_HAS_FILESYSTEM 1 + #elif defined(__cpp_lib_experimental_filesystem) + #define JSON_HAS_EXPERIMENTAL_FILESYSTEM 1 + #elif !defined(__has_include) + #define JSON_HAS_EXPERIMENTAL_FILESYSTEM 1 + #elif __has_include() + #define JSON_HAS_FILESYSTEM 1 + #elif __has_include() + #define JSON_HAS_EXPERIMENTAL_FILESYSTEM 1 + #endif + + // std::filesystem does not work on MinGW GCC 8: https://sourceforge.net/p/mingw-w64/bugs/737/ + #if defined(__MINGW32__) && defined(__GNUC__) && __GNUC__ == 8 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + + // no filesystem support before GCC 8: https://en.cppreference.com/w/cpp/compiler_support + #if defined(__GNUC__) && !defined(__clang__) && __GNUC__ < 8 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + + // no filesystem support before Clang 7: https://en.cppreference.com/w/cpp/compiler_support + #if defined(__clang_major__) && __clang_major__ < 7 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + + // no filesystem support before MSVC 19.14: https://en.cppreference.com/w/cpp/compiler_support + #if defined(_MSC_VER) && _MSC_VER < 1914 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + + // no filesystem support before iOS 13 + #if defined(__IPHONE_OS_VERSION_MIN_REQUIRED) && __IPHONE_OS_VERSION_MIN_REQUIRED < 130000 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + + // no filesystem support before macOS Catalina + #if defined(__MAC_OS_X_VERSION_MIN_REQUIRED) && __MAC_OS_X_VERSION_MIN_REQUIRED < 101500 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + #endif +#endif + +#ifndef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #define JSON_HAS_EXPERIMENTAL_FILESYSTEM 0 +#endif + +#ifndef JSON_HAS_FILESYSTEM + #define JSON_HAS_FILESYSTEM 0 +#endif + +// disable documentation warnings on clang +#if defined(__clang__) + #pragma clang diagnostic push + #pragma clang diagnostic ignored "-Wdocumentation" + #pragma clang diagnostic ignored "-Wdocumentation-unknown-command" +#endif + +// allow disabling exceptions +#if (defined(__cpp_exceptions) || defined(__EXCEPTIONS) || defined(_CPPUNWIND)) && !defined(JSON_NOEXCEPTION) + #define JSON_THROW(exception) throw exception + #define JSON_TRY try + #define JSON_CATCH(exception) catch(exception) + #define JSON_INTERNAL_CATCH(exception) catch(exception) +#else + #include + #define JSON_THROW(exception) std::abort() + #define JSON_TRY if(true) + #define JSON_CATCH(exception) if(false) + #define JSON_INTERNAL_CATCH(exception) if(false) +#endif + +// override exception macros +#if defined(JSON_THROW_USER) + #undef JSON_THROW + #define JSON_THROW JSON_THROW_USER +#endif +#if defined(JSON_TRY_USER) + #undef JSON_TRY + #define JSON_TRY JSON_TRY_USER +#endif +#if defined(JSON_CATCH_USER) + #undef JSON_CATCH + #define JSON_CATCH JSON_CATCH_USER + #undef JSON_INTERNAL_CATCH + #define JSON_INTERNAL_CATCH JSON_CATCH_USER +#endif +#if defined(JSON_INTERNAL_CATCH_USER) + #undef JSON_INTERNAL_CATCH + #define JSON_INTERNAL_CATCH JSON_INTERNAL_CATCH_USER +#endif + +// allow overriding assert +#if !defined(JSON_ASSERT) + #include // assert + #define JSON_ASSERT(x) assert(x) +#endif + +// allow to access some private functions (needed by the test suite) +#if defined(JSON_TESTS_PRIVATE) + #define JSON_PRIVATE_UNLESS_TESTED public +#else + #define JSON_PRIVATE_UNLESS_TESTED private +#endif + +/*! +@brief macro to briefly define a mapping between an enum and JSON +@def NLOHMANN_JSON_SERIALIZE_ENUM +@since version 3.4.0 +*/ +#define NLOHMANN_JSON_SERIALIZE_ENUM(ENUM_TYPE, ...) \ + template \ + inline void to_json(BasicJsonType& j, const ENUM_TYPE& e) \ + { \ + static_assert(std::is_enum::value, #ENUM_TYPE " must be an enum!"); \ + static const std::pair m[] = __VA_ARGS__; \ + auto it = std::find_if(std::begin(m), std::end(m), \ + [e](const std::pair& ej_pair) -> bool \ + { \ + return ej_pair.first == e; \ + }); \ + j = ((it != std::end(m)) ? it : std::begin(m))->second; \ + } \ + template \ + inline void from_json(const BasicJsonType& j, ENUM_TYPE& e) \ + { \ + static_assert(std::is_enum::value, #ENUM_TYPE " must be an enum!"); \ + static const std::pair m[] = __VA_ARGS__; \ + auto it = std::find_if(std::begin(m), std::end(m), \ + [&j](const std::pair& ej_pair) -> bool \ + { \ + return ej_pair.second == j; \ + }); \ + e = ((it != std::end(m)) ? it : std::begin(m))->first; \ + } + +// Ugly macros to avoid uglier copy-paste when specializing basic_json. They +// may be removed in the future once the class is split. + +#define NLOHMANN_BASIC_JSON_TPL_DECLARATION \ + template class ObjectType, \ + template class ArrayType, \ + class StringType, class BooleanType, class NumberIntegerType, \ + class NumberUnsignedType, class NumberFloatType, \ + template class AllocatorType, \ + template class JSONSerializer, \ + class BinaryType> + +#define NLOHMANN_BASIC_JSON_TPL \ + basic_json + +// Macros to simplify conversion from/to types + +#define NLOHMANN_JSON_EXPAND( x ) x +#define NLOHMANN_JSON_GET_MACRO(_1, _2, _3, _4, _5, _6, _7, _8, _9, _10, _11, _12, _13, _14, _15, _16, _17, _18, _19, _20, _21, _22, _23, _24, _25, _26, _27, _28, _29, _30, _31, _32, _33, _34, _35, _36, _37, _38, _39, _40, _41, _42, _43, _44, _45, _46, _47, _48, _49, _50, _51, _52, _53, _54, _55, _56, _57, _58, _59, _60, _61, _62, _63, _64, NAME,...) NAME +#define NLOHMANN_JSON_PASTE(...) NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_GET_MACRO(__VA_ARGS__, \ + NLOHMANN_JSON_PASTE64, \ + NLOHMANN_JSON_PASTE63, \ + NLOHMANN_JSON_PASTE62, \ + NLOHMANN_JSON_PASTE61, \ + NLOHMANN_JSON_PASTE60, \ + NLOHMANN_JSON_PASTE59, \ + NLOHMANN_JSON_PASTE58, \ + NLOHMANN_JSON_PASTE57, \ + NLOHMANN_JSON_PASTE56, \ + NLOHMANN_JSON_PASTE55, \ + NLOHMANN_JSON_PASTE54, \ + NLOHMANN_JSON_PASTE53, \ + NLOHMANN_JSON_PASTE52, \ + NLOHMANN_JSON_PASTE51, \ + NLOHMANN_JSON_PASTE50, \ + NLOHMANN_JSON_PASTE49, \ + NLOHMANN_JSON_PASTE48, \ + NLOHMANN_JSON_PASTE47, \ + NLOHMANN_JSON_PASTE46, \ + NLOHMANN_JSON_PASTE45, \ + NLOHMANN_JSON_PASTE44, \ + NLOHMANN_JSON_PASTE43, \ + NLOHMANN_JSON_PASTE42, \ + NLOHMANN_JSON_PASTE41, \ + NLOHMANN_JSON_PASTE40, \ + NLOHMANN_JSON_PASTE39, \ + NLOHMANN_JSON_PASTE38, \ + NLOHMANN_JSON_PASTE37, \ + NLOHMANN_JSON_PASTE36, \ + NLOHMANN_JSON_PASTE35, \ + NLOHMANN_JSON_PASTE34, \ + NLOHMANN_JSON_PASTE33, \ + NLOHMANN_JSON_PASTE32, \ + NLOHMANN_JSON_PASTE31, \ + NLOHMANN_JSON_PASTE30, \ + NLOHMANN_JSON_PASTE29, \ + NLOHMANN_JSON_PASTE28, \ + NLOHMANN_JSON_PASTE27, \ + NLOHMANN_JSON_PASTE26, \ + NLOHMANN_JSON_PASTE25, \ + NLOHMANN_JSON_PASTE24, \ + NLOHMANN_JSON_PASTE23, \ + NLOHMANN_JSON_PASTE22, \ + NLOHMANN_JSON_PASTE21, \ + NLOHMANN_JSON_PASTE20, \ + NLOHMANN_JSON_PASTE19, \ + NLOHMANN_JSON_PASTE18, \ + NLOHMANN_JSON_PASTE17, \ + NLOHMANN_JSON_PASTE16, \ + NLOHMANN_JSON_PASTE15, \ + NLOHMANN_JSON_PASTE14, \ + NLOHMANN_JSON_PASTE13, \ + NLOHMANN_JSON_PASTE12, \ + NLOHMANN_JSON_PASTE11, \ + NLOHMANN_JSON_PASTE10, \ + NLOHMANN_JSON_PASTE9, \ + NLOHMANN_JSON_PASTE8, \ + NLOHMANN_JSON_PASTE7, \ + NLOHMANN_JSON_PASTE6, \ + NLOHMANN_JSON_PASTE5, \ + NLOHMANN_JSON_PASTE4, \ + NLOHMANN_JSON_PASTE3, \ + NLOHMANN_JSON_PASTE2, \ + NLOHMANN_JSON_PASTE1)(__VA_ARGS__)) +#define NLOHMANN_JSON_PASTE2(func, v1) func(v1) +#define NLOHMANN_JSON_PASTE3(func, v1, v2) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE2(func, v2) +#define NLOHMANN_JSON_PASTE4(func, v1, v2, v3) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE3(func, v2, v3) +#define NLOHMANN_JSON_PASTE5(func, v1, v2, v3, v4) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE4(func, v2, v3, v4) +#define NLOHMANN_JSON_PASTE6(func, v1, v2, v3, v4, v5) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE5(func, v2, v3, v4, v5) +#define NLOHMANN_JSON_PASTE7(func, v1, v2, v3, v4, v5, v6) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE6(func, v2, v3, v4, v5, v6) +#define NLOHMANN_JSON_PASTE8(func, v1, v2, v3, v4, v5, v6, v7) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE7(func, v2, v3, v4, v5, v6, v7) +#define NLOHMANN_JSON_PASTE9(func, v1, v2, v3, v4, v5, v6, v7, v8) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE8(func, v2, v3, v4, v5, v6, v7, v8) +#define NLOHMANN_JSON_PASTE10(func, v1, v2, v3, v4, v5, v6, v7, v8, v9) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE9(func, v2, v3, v4, v5, v6, v7, v8, v9) +#define NLOHMANN_JSON_PASTE11(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE10(func, v2, v3, v4, v5, v6, v7, v8, v9, v10) +#define NLOHMANN_JSON_PASTE12(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE11(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11) +#define NLOHMANN_JSON_PASTE13(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE12(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12) +#define NLOHMANN_JSON_PASTE14(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE13(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13) +#define NLOHMANN_JSON_PASTE15(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE14(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14) +#define NLOHMANN_JSON_PASTE16(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE15(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15) +#define NLOHMANN_JSON_PASTE17(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE16(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16) +#define NLOHMANN_JSON_PASTE18(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE17(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17) +#define NLOHMANN_JSON_PASTE19(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE18(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18) +#define NLOHMANN_JSON_PASTE20(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE19(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19) +#define NLOHMANN_JSON_PASTE21(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE20(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20) +#define NLOHMANN_JSON_PASTE22(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE21(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21) +#define NLOHMANN_JSON_PASTE23(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE22(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22) +#define NLOHMANN_JSON_PASTE24(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE23(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23) +#define NLOHMANN_JSON_PASTE25(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE24(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24) +#define NLOHMANN_JSON_PASTE26(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE25(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25) +#define NLOHMANN_JSON_PASTE27(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE26(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26) +#define NLOHMANN_JSON_PASTE28(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE27(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27) +#define NLOHMANN_JSON_PASTE29(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE28(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28) +#define NLOHMANN_JSON_PASTE30(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE29(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29) +#define NLOHMANN_JSON_PASTE31(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE30(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30) +#define NLOHMANN_JSON_PASTE32(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE31(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31) +#define NLOHMANN_JSON_PASTE33(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE32(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32) +#define NLOHMANN_JSON_PASTE34(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE33(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33) +#define NLOHMANN_JSON_PASTE35(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE34(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34) +#define NLOHMANN_JSON_PASTE36(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE35(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35) +#define NLOHMANN_JSON_PASTE37(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE36(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36) +#define NLOHMANN_JSON_PASTE38(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE37(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37) +#define NLOHMANN_JSON_PASTE39(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE38(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38) +#define NLOHMANN_JSON_PASTE40(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE39(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39) +#define NLOHMANN_JSON_PASTE41(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE40(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40) +#define NLOHMANN_JSON_PASTE42(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE41(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41) +#define NLOHMANN_JSON_PASTE43(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE42(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42) +#define NLOHMANN_JSON_PASTE44(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE43(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43) +#define NLOHMANN_JSON_PASTE45(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE44(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44) +#define NLOHMANN_JSON_PASTE46(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE45(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45) +#define NLOHMANN_JSON_PASTE47(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE46(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46) +#define NLOHMANN_JSON_PASTE48(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE47(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47) +#define NLOHMANN_JSON_PASTE49(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE48(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48) +#define NLOHMANN_JSON_PASTE50(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE49(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49) +#define NLOHMANN_JSON_PASTE51(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE50(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50) +#define NLOHMANN_JSON_PASTE52(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE51(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51) +#define NLOHMANN_JSON_PASTE53(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE52(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52) +#define NLOHMANN_JSON_PASTE54(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE53(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53) +#define NLOHMANN_JSON_PASTE55(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE54(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54) +#define NLOHMANN_JSON_PASTE56(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE55(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55) +#define NLOHMANN_JSON_PASTE57(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE56(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56) +#define NLOHMANN_JSON_PASTE58(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE57(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57) +#define NLOHMANN_JSON_PASTE59(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE58(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58) +#define NLOHMANN_JSON_PASTE60(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE59(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59) +#define NLOHMANN_JSON_PASTE61(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE60(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60) +#define NLOHMANN_JSON_PASTE62(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE61(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61) +#define NLOHMANN_JSON_PASTE63(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61, v62) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE62(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61, v62) +#define NLOHMANN_JSON_PASTE64(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61, v62, v63) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE63(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61, v62, v63) + +#define NLOHMANN_JSON_TO(v1) nlohmann_json_j[#v1] = nlohmann_json_t.v1; +#define NLOHMANN_JSON_FROM(v1) nlohmann_json_j.at(#v1).get_to(nlohmann_json_t.v1); +#define NLOHMANN_JSON_FROM_WITH_DEFAULT(v1) nlohmann_json_t.v1 = nlohmann_json_j.value(#v1, nlohmann_json_default_obj.v1); + +/*! +@brief macro +@def NLOHMANN_DEFINE_TYPE_INTRUSIVE +@since version 3.9.0 +*/ +#define NLOHMANN_DEFINE_TYPE_INTRUSIVE(Type, ...) \ + friend void to_json(nlohmann::json& nlohmann_json_j, const Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_TO, __VA_ARGS__)) } \ + friend void from_json(const nlohmann::json& nlohmann_json_j, Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_FROM, __VA_ARGS__)) } + +#define NLOHMANN_DEFINE_TYPE_INTRUSIVE_WITH_DEFAULT(Type, ...) \ + friend void to_json(nlohmann::json& nlohmann_json_j, const Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_TO, __VA_ARGS__)) } \ + friend void from_json(const nlohmann::json& nlohmann_json_j, Type& nlohmann_json_t) { Type nlohmann_json_default_obj; NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_FROM_WITH_DEFAULT, __VA_ARGS__)) } + +/*! +@brief macro +@def NLOHMANN_DEFINE_TYPE_NON_INTRUSIVE +@since version 3.9.0 +*/ +#define NLOHMANN_DEFINE_TYPE_NON_INTRUSIVE(Type, ...) \ + inline void to_json(nlohmann::json& nlohmann_json_j, const Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_TO, __VA_ARGS__)) } \ + inline void from_json(const nlohmann::json& nlohmann_json_j, Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_FROM, __VA_ARGS__)) } + +#define NLOHMANN_DEFINE_TYPE_NON_INTRUSIVE_WITH_DEFAULT(Type, ...) \ + inline void to_json(nlohmann::json& nlohmann_json_j, const Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_TO, __VA_ARGS__)) } \ + inline void from_json(const nlohmann::json& nlohmann_json_j, Type& nlohmann_json_t) { Type nlohmann_json_default_obj; NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_FROM_WITH_DEFAULT, __VA_ARGS__)) } + + +// inspired from https://stackoverflow.com/a/26745591 +// allows to call any std function as if (e.g. with begin): +// using std::begin; begin(x); +// +// it allows using the detected idiom to retrieve the return type +// of such an expression +#define NLOHMANN_CAN_CALL_STD_FUNC_IMPL(std_name) \ + namespace detail { \ + using std::std_name; \ + \ + template \ + using result_of_##std_name = decltype(std_name(std::declval()...)); \ + } \ + \ + namespace detail2 { \ + struct std_name##_tag \ + { \ + }; \ + \ + template \ + std_name##_tag std_name(T&&...); \ + \ + template \ + using result_of_##std_name = decltype(std_name(std::declval()...)); \ + \ + template \ + struct would_call_std_##std_name \ + { \ + static constexpr auto const value = ::nlohmann::detail:: \ + is_detected_exact::value; \ + }; \ + } /* namespace detail2 */ \ + \ + template \ + struct would_call_std_##std_name : detail2::would_call_std_##std_name \ + { \ + } + +#ifndef JSON_USE_IMPLICIT_CONVERSIONS + #define JSON_USE_IMPLICIT_CONVERSIONS 1 +#endif + +#if JSON_USE_IMPLICIT_CONVERSIONS + #define JSON_EXPLICIT +#else + #define JSON_EXPLICIT explicit +#endif + +#ifndef JSON_DIAGNOSTICS + #define JSON_DIAGNOSTICS 0 +#endif + + +namespace nlohmann +{ +namespace detail +{ + +/*! +@brief replace all occurrences of a substring by another string + +@param[in,out] s the string to manipulate; changed so that all + occurrences of @a f are replaced with @a t +@param[in] f the substring to replace with @a t +@param[in] t the string to replace @a f + +@pre The search string @a f must not be empty. **This precondition is +enforced with an assertion.** + +@since version 2.0.0 +*/ +inline void replace_substring(std::string& s, const std::string& f, + const std::string& t) +{ + JSON_ASSERT(!f.empty()); + for (auto pos = s.find(f); // find first occurrence of f + pos != std::string::npos; // make sure f was found + s.replace(pos, f.size(), t), // replace with t, and + pos = s.find(f, pos + t.size())) // find next occurrence of f + {} +} + +/*! + * @brief string escaping as described in RFC 6901 (Sect. 4) + * @param[in] s string to escape + * @return escaped string + * + * Note the order of escaping "~" to "~0" and "/" to "~1" is important. + */ +inline std::string escape(std::string s) +{ + replace_substring(s, "~", "~0"); + replace_substring(s, "/", "~1"); + return s; +} + +/*! + * @brief string unescaping as described in RFC 6901 (Sect. 4) + * @param[in] s string to unescape + * @return unescaped string + * + * Note the order of escaping "~1" to "/" and "~0" to "~" is important. + */ +static void unescape(std::string& s) +{ + replace_substring(s, "~1", "/"); + replace_substring(s, "~0", "~"); +} + +} // namespace detail +} // namespace nlohmann + +// #include + + +#include // size_t + +namespace nlohmann +{ +namespace detail +{ +/// struct to capture the start position of the current token +struct position_t +{ + /// the total number of characters read + std::size_t chars_read_total = 0; + /// the number of characters read in the current line + std::size_t chars_read_current_line = 0; + /// the number of lines read + std::size_t lines_read = 0; + + /// conversion to size_t to preserve SAX interface + constexpr operator size_t() const + { + return chars_read_total; + } +}; + +} // namespace detail +} // namespace nlohmann + +// #include + + +namespace nlohmann +{ +namespace detail +{ +//////////////// +// exceptions // +//////////////// + +/// @brief general exception of the @ref basic_json class +/// @sa https://json.nlohmann.me/api/basic_json/exception/ +class exception : public std::exception +{ + public: + /// returns the explanatory string + const char* what() const noexcept override + { + return m.what(); + } + + /// the id of the exception + const int id; // NOLINT(cppcoreguidelines-non-private-member-variables-in-classes) + + protected: + JSON_HEDLEY_NON_NULL(3) + exception(int id_, const char* what_arg) : id(id_), m(what_arg) {} // NOLINT(bugprone-throw-keyword-missing) + + static std::string name(const std::string& ename, int id_) + { + return "[json.exception." + ename + "." + std::to_string(id_) + "] "; + } + + template + static std::string diagnostics(const BasicJsonType& leaf_element) + { +#if JSON_DIAGNOSTICS + std::vector tokens; + for (const auto* current = &leaf_element; current->m_parent != nullptr; current = current->m_parent) + { + switch (current->m_parent->type()) + { + case value_t::array: + { + for (std::size_t i = 0; i < current->m_parent->m_value.array->size(); ++i) + { + if (¤t->m_parent->m_value.array->operator[](i) == current) + { + tokens.emplace_back(std::to_string(i)); + break; + } + } + break; + } + + case value_t::object: + { + for (const auto& element : *current->m_parent->m_value.object) + { + if (&element.second == current) + { + tokens.emplace_back(element.first.c_str()); + break; + } + } + break; + } + + case value_t::null: // LCOV_EXCL_LINE + case value_t::string: // LCOV_EXCL_LINE + case value_t::boolean: // LCOV_EXCL_LINE + case value_t::number_integer: // LCOV_EXCL_LINE + case value_t::number_unsigned: // LCOV_EXCL_LINE + case value_t::number_float: // LCOV_EXCL_LINE + case value_t::binary: // LCOV_EXCL_LINE + case value_t::discarded: // LCOV_EXCL_LINE + default: // LCOV_EXCL_LINE + break; // LCOV_EXCL_LINE + } + } + + if (tokens.empty()) + { + return ""; + } + + return "(" + std::accumulate(tokens.rbegin(), tokens.rend(), std::string{}, + [](const std::string & a, const std::string & b) + { + return a + "/" + detail::escape(b); + }) + ") "; +#else + static_cast(leaf_element); + return ""; +#endif + } + + private: + /// an exception object as storage for error messages + std::runtime_error m; +}; + +/// @brief exception indicating a parse error +/// @sa https://json.nlohmann.me/api/basic_json/parse_error/ +class parse_error : public exception +{ + public: + /*! + @brief create a parse error exception + @param[in] id_ the id of the exception + @param[in] pos the position where the error occurred (or with + chars_read_total=0 if the position cannot be + determined) + @param[in] what_arg the explanatory string + @return parse_error object + */ + template + static parse_error create(int id_, const position_t& pos, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("parse_error", id_) + "parse error" + + position_string(pos) + ": " + exception::diagnostics(context) + what_arg; + return {id_, pos.chars_read_total, w.c_str()}; + } + + template + static parse_error create(int id_, std::size_t byte_, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("parse_error", id_) + "parse error" + + (byte_ != 0 ? (" at byte " + std::to_string(byte_)) : "") + + ": " + exception::diagnostics(context) + what_arg; + return {id_, byte_, w.c_str()}; + } + + /*! + @brief byte index of the parse error + + The byte index of the last read character in the input file. + + @note For an input with n bytes, 1 is the index of the first character and + n+1 is the index of the terminating null byte or the end of file. + This also holds true when reading a byte vector (CBOR or MessagePack). + */ + const std::size_t byte; + + private: + parse_error(int id_, std::size_t byte_, const char* what_arg) + : exception(id_, what_arg), byte(byte_) {} + + static std::string position_string(const position_t& pos) + { + return " at line " + std::to_string(pos.lines_read + 1) + + ", column " + std::to_string(pos.chars_read_current_line); + } +}; + +/// @brief exception indicating errors with iterators +/// @sa https://json.nlohmann.me/api/basic_json/invalid_iterator/ +class invalid_iterator : public exception +{ + public: + template + static invalid_iterator create(int id_, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("invalid_iterator", id_) + exception::diagnostics(context) + what_arg; + return {id_, w.c_str()}; + } + + private: + JSON_HEDLEY_NON_NULL(3) + invalid_iterator(int id_, const char* what_arg) + : exception(id_, what_arg) {} +}; + +/// @brief exception indicating executing a member function with a wrong type +/// @sa https://json.nlohmann.me/api/basic_json/type_error/ +class type_error : public exception +{ + public: + template + static type_error create(int id_, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("type_error", id_) + exception::diagnostics(context) + what_arg; + return {id_, w.c_str()}; + } + + private: + JSON_HEDLEY_NON_NULL(3) + type_error(int id_, const char* what_arg) : exception(id_, what_arg) {} +}; + +/// @brief exception indicating access out of the defined range +/// @sa https://json.nlohmann.me/api/basic_json/out_of_range/ +class out_of_range : public exception +{ + public: + template + static out_of_range create(int id_, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("out_of_range", id_) + exception::diagnostics(context) + what_arg; + return {id_, w.c_str()}; + } + + private: + JSON_HEDLEY_NON_NULL(3) + out_of_range(int id_, const char* what_arg) : exception(id_, what_arg) {} +}; + +/// @brief exception indicating other library errors +/// @sa https://json.nlohmann.me/api/basic_json/other_error/ +class other_error : public exception +{ + public: + template + static other_error create(int id_, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("other_error", id_) + exception::diagnostics(context) + what_arg; + return {id_, w.c_str()}; + } + + private: + JSON_HEDLEY_NON_NULL(3) + other_error(int id_, const char* what_arg) : exception(id_, what_arg) {} +}; + +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +#include // size_t +#include // conditional, enable_if, false_type, integral_constant, is_constructible, is_integral, is_same, remove_cv, remove_reference, true_type +#include // index_sequence, make_index_sequence, index_sequence_for + +// #include + + +namespace nlohmann +{ +namespace detail +{ + +template +using uncvref_t = typename std::remove_cv::type>::type; + +#ifdef JSON_HAS_CPP_14 + +// the following utilities are natively available in C++14 +using std::enable_if_t; +using std::index_sequence; +using std::make_index_sequence; +using std::index_sequence_for; + +#else + +// alias templates to reduce boilerplate +template +using enable_if_t = typename std::enable_if::type; + +// The following code is taken from https://github.com/abseil/abseil-cpp/blob/10cb35e459f5ecca5b2ff107635da0bfa41011b4/absl/utility/utility.h +// which is part of Google Abseil (https://github.com/abseil/abseil-cpp), licensed under the Apache License 2.0. + +//// START OF CODE FROM GOOGLE ABSEIL + +// integer_sequence +// +// Class template representing a compile-time integer sequence. An instantiation +// of `integer_sequence` has a sequence of integers encoded in its +// type through its template arguments (which is a common need when +// working with C++11 variadic templates). `absl::integer_sequence` is designed +// to be a drop-in replacement for C++14's `std::integer_sequence`. +// +// Example: +// +// template< class T, T... Ints > +// void user_function(integer_sequence); +// +// int main() +// { +// // user_function's `T` will be deduced to `int` and `Ints...` +// // will be deduced to `0, 1, 2, 3, 4`. +// user_function(make_integer_sequence()); +// } +template +struct integer_sequence +{ + using value_type = T; + static constexpr std::size_t size() noexcept + { + return sizeof...(Ints); + } +}; + +// index_sequence +// +// A helper template for an `integer_sequence` of `size_t`, +// `absl::index_sequence` is designed to be a drop-in replacement for C++14's +// `std::index_sequence`. +template +using index_sequence = integer_sequence; + +namespace utility_internal +{ + +template +struct Extend; + +// Note that SeqSize == sizeof...(Ints). It's passed explicitly for efficiency. +template +struct Extend, SeqSize, 0> +{ + using type = integer_sequence < T, Ints..., (Ints + SeqSize)... >; +}; + +template +struct Extend, SeqSize, 1> +{ + using type = integer_sequence < T, Ints..., (Ints + SeqSize)..., 2 * SeqSize >; +}; + +// Recursion helper for 'make_integer_sequence'. +// 'Gen::type' is an alias for 'integer_sequence'. +template +struct Gen +{ + using type = + typename Extend < typename Gen < T, N / 2 >::type, N / 2, N % 2 >::type; +}; + +template +struct Gen +{ + using type = integer_sequence; +}; + +} // namespace utility_internal + +// Compile-time sequences of integers + +// make_integer_sequence +// +// This template alias is equivalent to +// `integer_sequence`, and is designed to be a drop-in +// replacement for C++14's `std::make_integer_sequence`. +template +using make_integer_sequence = typename utility_internal::Gen::type; + +// make_index_sequence +// +// This template alias is equivalent to `index_sequence<0, 1, ..., N-1>`, +// and is designed to be a drop-in replacement for C++14's +// `std::make_index_sequence`. +template +using make_index_sequence = make_integer_sequence; + +// index_sequence_for +// +// Converts a typename pack into an index sequence of the same length, and +// is designed to be a drop-in replacement for C++14's +// `std::index_sequence_for()` +template +using index_sequence_for = make_index_sequence; + +//// END OF CODE FROM GOOGLE ABSEIL + +#endif + +// dispatch utility (taken from ranges-v3) +template struct priority_tag : priority_tag < N - 1 > {}; +template<> struct priority_tag<0> {}; + +// taken from ranges-v3 +template +struct static_const +{ + static constexpr T value{}; +}; + +template +constexpr T static_const::value; // NOLINT(readability-redundant-declaration) + +} // namespace detail +} // namespace nlohmann + +// #include + + +namespace nlohmann +{ +namespace detail +{ +// dispatching helper struct +template struct identity_tag {}; +} // namespace detail +} // namespace nlohmann + +// #include + + +#include // numeric_limits +#include // false_type, is_constructible, is_integral, is_same, true_type +#include // declval +#include // tuple + +// #include + + +// #include + + +#include // random_access_iterator_tag + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +template +struct iterator_types {}; + +template +struct iterator_types < + It, + void_t> +{ + using difference_type = typename It::difference_type; + using value_type = typename It::value_type; + using pointer = typename It::pointer; + using reference = typename It::reference; + using iterator_category = typename It::iterator_category; +}; + +// This is required as some compilers implement std::iterator_traits in a way that +// doesn't work with SFINAE. See https://github.com/nlohmann/json/issues/1341. +template +struct iterator_traits +{ +}; + +template +struct iterator_traits < T, enable_if_t < !std::is_pointer::value >> + : iterator_types +{ +}; + +template +struct iterator_traits::value>> +{ + using iterator_category = std::random_access_iterator_tag; + using value_type = T; + using difference_type = ptrdiff_t; + using pointer = T*; + using reference = T&; +}; +} // namespace detail +} // namespace nlohmann + +// #include + + +// #include + + +namespace nlohmann +{ +NLOHMANN_CAN_CALL_STD_FUNC_IMPL(begin); +} // namespace nlohmann + +// #include + + +// #include + + +namespace nlohmann +{ +NLOHMANN_CAN_CALL_STD_FUNC_IMPL(end); +} // namespace nlohmann + +// #include + +// #include + +// #include +#ifndef INCLUDE_NLOHMANN_JSON_FWD_HPP_ +#define INCLUDE_NLOHMANN_JSON_FWD_HPP_ + +#include // int64_t, uint64_t +#include // map +#include // allocator +#include // string +#include // vector + +/*! +@brief namespace for Niels Lohmann +@see https://github.com/nlohmann +@since version 1.0.0 +*/ +namespace nlohmann +{ +/*! +@brief default JSONSerializer template argument + +This serializer ignores the template arguments and uses ADL +([argument-dependent lookup](https://en.cppreference.com/w/cpp/language/adl)) +for serialization. +*/ +template +struct adl_serializer; + +/// a class to store JSON values +/// @sa https://json.nlohmann.me/api/basic_json/ +template class ObjectType = + std::map, + template class ArrayType = std::vector, + class StringType = std::string, class BooleanType = bool, + class NumberIntegerType = std::int64_t, + class NumberUnsignedType = std::uint64_t, + class NumberFloatType = double, + template class AllocatorType = std::allocator, + template class JSONSerializer = + adl_serializer, + class BinaryType = std::vector> +class basic_json; + +/// @brief JSON Pointer defines a string syntax for identifying a specific value within a JSON document +/// @sa https://json.nlohmann.me/api/json_pointer/ +template +class json_pointer; + +/*! +@brief default specialization +@sa https://json.nlohmann.me/api/json/ +*/ +using json = basic_json<>; + +/// @brief a minimal map-like container that preserves insertion order +/// @sa https://json.nlohmann.me/api/ordered_map/ +template +struct ordered_map; + +/// @brief specialization that maintains the insertion order of object keys +/// @sa https://json.nlohmann.me/api/ordered_json/ +using ordered_json = basic_json; + +} // namespace nlohmann + +#endif // INCLUDE_NLOHMANN_JSON_FWD_HPP_ + + +namespace nlohmann +{ +/*! +@brief detail namespace with internal helper functions + +This namespace collects functions that should not be exposed, +implementations of some @ref basic_json methods, and meta-programming helpers. + +@since version 2.1.0 +*/ +namespace detail +{ +///////////// +// helpers // +///////////// + +// Note to maintainers: +// +// Every trait in this file expects a non CV-qualified type. +// The only exceptions are in the 'aliases for detected' section +// (i.e. those of the form: decltype(T::member_function(std::declval()))) +// +// In this case, T has to be properly CV-qualified to constraint the function arguments +// (e.g. to_json(BasicJsonType&, const T&)) + +template struct is_basic_json : std::false_type {}; + +NLOHMANN_BASIC_JSON_TPL_DECLARATION +struct is_basic_json : std::true_type {}; + +////////////////////// +// json_ref helpers // +////////////////////// + +template +class json_ref; + +template +struct is_json_ref : std::false_type {}; + +template +struct is_json_ref> : std::true_type {}; + +////////////////////////// +// aliases for detected // +////////////////////////// + +template +using mapped_type_t = typename T::mapped_type; + +template +using key_type_t = typename T::key_type; + +template +using value_type_t = typename T::value_type; + +template +using difference_type_t = typename T::difference_type; + +template +using pointer_t = typename T::pointer; + +template +using reference_t = typename T::reference; + +template +using iterator_category_t = typename T::iterator_category; + +template +using to_json_function = decltype(T::to_json(std::declval()...)); + +template +using from_json_function = decltype(T::from_json(std::declval()...)); + +template +using get_template_function = decltype(std::declval().template get()); + +// trait checking if JSONSerializer::from_json(json const&, udt&) exists +template +struct has_from_json : std::false_type {}; + +// trait checking if j.get is valid +// use this trait instead of std::is_constructible or std::is_convertible, +// both rely on, or make use of implicit conversions, and thus fail when T +// has several constructors/operator= (see https://github.com/nlohmann/json/issues/958) +template +struct is_getable +{ + static constexpr bool value = is_detected::value; +}; + +template +struct has_from_json < BasicJsonType, T, enable_if_t < !is_basic_json::value >> +{ + using serializer = typename BasicJsonType::template json_serializer; + + static constexpr bool value = + is_detected_exact::value; +}; + +// This trait checks if JSONSerializer::from_json(json const&) exists +// this overload is used for non-default-constructible user-defined-types +template +struct has_non_default_from_json : std::false_type {}; + +template +struct has_non_default_from_json < BasicJsonType, T, enable_if_t < !is_basic_json::value >> +{ + using serializer = typename BasicJsonType::template json_serializer; + + static constexpr bool value = + is_detected_exact::value; +}; + +// This trait checks if BasicJsonType::json_serializer::to_json exists +// Do not evaluate the trait when T is a basic_json type, to avoid template instantiation infinite recursion. +template +struct has_to_json : std::false_type {}; + +template +struct has_to_json < BasicJsonType, T, enable_if_t < !is_basic_json::value >> +{ + using serializer = typename BasicJsonType::template json_serializer; + + static constexpr bool value = + is_detected_exact::value; +}; + + +/////////////////// +// is_ functions // +/////////////////// + +// https://en.cppreference.com/w/cpp/types/conjunction +template struct conjunction : std::true_type { }; +template struct conjunction : B1 { }; +template +struct conjunction +: std::conditional, B1>::type {}; + +// https://en.cppreference.com/w/cpp/types/negation +template struct negation : std::integral_constant < bool, !B::value > { }; + +// Reimplementation of is_constructible and is_default_constructible, due to them being broken for +// std::pair and std::tuple until LWG 2367 fix (see https://cplusplus.github.io/LWG/lwg-defects.html#2367). +// This causes compile errors in e.g. clang 3.5 or gcc 4.9. +template +struct is_default_constructible : std::is_default_constructible {}; + +template +struct is_default_constructible> + : conjunction, is_default_constructible> {}; + +template +struct is_default_constructible> + : conjunction, is_default_constructible> {}; + +template +struct is_default_constructible> + : conjunction...> {}; + +template +struct is_default_constructible> + : conjunction...> {}; + + +template +struct is_constructible : std::is_constructible {}; + +template +struct is_constructible> : is_default_constructible> {}; + +template +struct is_constructible> : is_default_constructible> {}; + +template +struct is_constructible> : is_default_constructible> {}; + +template +struct is_constructible> : is_default_constructible> {}; + + +template +struct is_iterator_traits : std::false_type {}; + +template +struct is_iterator_traits> +{ + private: + using traits = iterator_traits; + + public: + static constexpr auto value = + is_detected::value && + is_detected::value && + is_detected::value && + is_detected::value && + is_detected::value; +}; + +template +struct is_range +{ + private: + using t_ref = typename std::add_lvalue_reference::type; + + using iterator = detected_t; + using sentinel = detected_t; + + // to be 100% correct, it should use https://en.cppreference.com/w/cpp/iterator/input_or_output_iterator + // and https://en.cppreference.com/w/cpp/iterator/sentinel_for + // but reimplementing these would be too much work, as a lot of other concepts are used underneath + static constexpr auto is_iterator_begin = + is_iterator_traits>::value; + + public: + static constexpr bool value = !std::is_same::value && !std::is_same::value && is_iterator_begin; +}; + +template +using iterator_t = enable_if_t::value, result_of_begin())>>; + +template +using range_value_t = value_type_t>>; + +// The following implementation of is_complete_type is taken from +// https://blogs.msdn.microsoft.com/vcblog/2015/12/02/partial-support-for-expression-sfinae-in-vs-2015-update-1/ +// and is written by Xiang Fan who agreed to using it in this library. + +template +struct is_complete_type : std::false_type {}; + +template +struct is_complete_type : std::true_type {}; + +template +struct is_compatible_object_type_impl : std::false_type {}; + +template +struct is_compatible_object_type_impl < + BasicJsonType, CompatibleObjectType, + enable_if_t < is_detected::value&& + is_detected::value >> +{ + using object_t = typename BasicJsonType::object_t; + + // macOS's is_constructible does not play well with nonesuch... + static constexpr bool value = + is_constructible::value && + is_constructible::value; +}; + +template +struct is_compatible_object_type + : is_compatible_object_type_impl {}; + +template +struct is_constructible_object_type_impl : std::false_type {}; + +template +struct is_constructible_object_type_impl < + BasicJsonType, ConstructibleObjectType, + enable_if_t < is_detected::value&& + is_detected::value >> +{ + using object_t = typename BasicJsonType::object_t; + + static constexpr bool value = + (is_default_constructible::value && + (std::is_move_assignable::value || + std::is_copy_assignable::value) && + (is_constructible::value && + std::is_same < + typename object_t::mapped_type, + typename ConstructibleObjectType::mapped_type >::value)) || + (has_from_json::value || + has_non_default_from_json < + BasicJsonType, + typename ConstructibleObjectType::mapped_type >::value); +}; + +template +struct is_constructible_object_type + : is_constructible_object_type_impl {}; + +template +struct is_compatible_string_type +{ + static constexpr auto value = + is_constructible::value; +}; + +template +struct is_constructible_string_type +{ + static constexpr auto value = + is_constructible::value; +}; + +template +struct is_compatible_array_type_impl : std::false_type {}; + +template +struct is_compatible_array_type_impl < + BasicJsonType, CompatibleArrayType, + enable_if_t < + is_detected::value&& + is_iterator_traits>>::value&& +// special case for types like std::filesystem::path whose iterator's value_type are themselves +// c.f. https://github.com/nlohmann/json/pull/3073 + !std::is_same>::value >> +{ + static constexpr bool value = + is_constructible>::value; +}; + +template +struct is_compatible_array_type + : is_compatible_array_type_impl {}; + +template +struct is_constructible_array_type_impl : std::false_type {}; + +template +struct is_constructible_array_type_impl < + BasicJsonType, ConstructibleArrayType, + enable_if_t::value >> + : std::true_type {}; + +template +struct is_constructible_array_type_impl < + BasicJsonType, ConstructibleArrayType, + enable_if_t < !std::is_same::value&& + !is_compatible_string_type::value&& + is_default_constructible::value&& +(std::is_move_assignable::value || + std::is_copy_assignable::value)&& +is_detected::value&& +is_iterator_traits>>::value&& +is_detected::value&& +// special case for types like std::filesystem::path whose iterator's value_type are themselves +// c.f. https://github.com/nlohmann/json/pull/3073 +!std::is_same>::value&& + is_complete_type < + detected_t>::value >> +{ + using value_type = range_value_t; + + static constexpr bool value = + std::is_same::value || + has_from_json::value || + has_non_default_from_json < + BasicJsonType, + value_type >::value; +}; + +template +struct is_constructible_array_type + : is_constructible_array_type_impl {}; + +template +struct is_compatible_integer_type_impl : std::false_type {}; + +template +struct is_compatible_integer_type_impl < + RealIntegerType, CompatibleNumberIntegerType, + enable_if_t < std::is_integral::value&& + std::is_integral::value&& + !std::is_same::value >> +{ + // is there an assert somewhere on overflows? + using RealLimits = std::numeric_limits; + using CompatibleLimits = std::numeric_limits; + + static constexpr auto value = + is_constructible::value && + CompatibleLimits::is_integer && + RealLimits::is_signed == CompatibleLimits::is_signed; +}; + +template +struct is_compatible_integer_type + : is_compatible_integer_type_impl {}; + +template +struct is_compatible_type_impl: std::false_type {}; + +template +struct is_compatible_type_impl < + BasicJsonType, CompatibleType, + enable_if_t::value >> +{ + static constexpr bool value = + has_to_json::value; +}; + +template +struct is_compatible_type + : is_compatible_type_impl {}; + +template +struct is_constructible_tuple : std::false_type {}; + +template +struct is_constructible_tuple> : conjunction...> {}; + +// a naive helper to check if a type is an ordered_map (exploits the fact that +// ordered_map inherits capacity() from std::vector) +template +struct is_ordered_map +{ + using one = char; + + struct two + { + char x[2]; // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) + }; + + template static one test( decltype(&C::capacity) ) ; + template static two test(...); + + enum { value = sizeof(test(nullptr)) == sizeof(char) }; // NOLINT(cppcoreguidelines-pro-type-vararg,hicpp-vararg) +}; + +// to avoid useless casts (see https://github.com/nlohmann/json/issues/2893#issuecomment-889152324) +template < typename T, typename U, enable_if_t < !std::is_same::value, int > = 0 > +T conditional_static_cast(U value) +{ + return static_cast(value); +} + +template::value, int> = 0> +T conditional_static_cast(U value) +{ + return value; +} + +} // namespace detail +} // namespace nlohmann + +// #include + + +#if JSON_HAS_EXPERIMENTAL_FILESYSTEM +#include +namespace nlohmann::detail +{ +namespace std_fs = std::experimental::filesystem; +} // namespace nlohmann::detail +#elif JSON_HAS_FILESYSTEM +#include +namespace nlohmann::detail +{ +namespace std_fs = std::filesystem; +} // namespace nlohmann::detail +#endif + +namespace nlohmann +{ +namespace detail +{ +template +void from_json(const BasicJsonType& j, typename std::nullptr_t& n) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_null())) + { + JSON_THROW(type_error::create(302, "type must be null, but is " + std::string(j.type_name()), j)); + } + n = nullptr; +} + +// overloads for basic_json template parameters +template < typename BasicJsonType, typename ArithmeticType, + enable_if_t < std::is_arithmetic::value&& + !std::is_same::value, + int > = 0 > +void get_arithmetic_value(const BasicJsonType& j, ArithmeticType& val) +{ + switch (static_cast(j)) + { + case value_t::number_unsigned: + { + val = static_cast(*j.template get_ptr()); + break; + } + case value_t::number_integer: + { + val = static_cast(*j.template get_ptr()); + break; + } + case value_t::number_float: + { + val = static_cast(*j.template get_ptr()); + break; + } + + case value_t::null: + case value_t::object: + case value_t::array: + case value_t::string: + case value_t::boolean: + case value_t::binary: + case value_t::discarded: + default: + JSON_THROW(type_error::create(302, "type must be number, but is " + std::string(j.type_name()), j)); + } +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::boolean_t& b) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_boolean())) + { + JSON_THROW(type_error::create(302, "type must be boolean, but is " + std::string(j.type_name()), j)); + } + b = *j.template get_ptr(); +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::string_t& s) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_string())) + { + JSON_THROW(type_error::create(302, "type must be string, but is " + std::string(j.type_name()), j)); + } + s = *j.template get_ptr(); +} + +template < + typename BasicJsonType, typename ConstructibleStringType, + enable_if_t < + is_constructible_string_type::value&& + !std::is_same::value, + int > = 0 > +void from_json(const BasicJsonType& j, ConstructibleStringType& s) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_string())) + { + JSON_THROW(type_error::create(302, "type must be string, but is " + std::string(j.type_name()), j)); + } + + s = *j.template get_ptr(); +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::number_float_t& val) +{ + get_arithmetic_value(j, val); +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::number_unsigned_t& val) +{ + get_arithmetic_value(j, val); +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::number_integer_t& val) +{ + get_arithmetic_value(j, val); +} + +template::value, int> = 0> +void from_json(const BasicJsonType& j, EnumType& e) +{ + typename std::underlying_type::type val; + get_arithmetic_value(j, val); + e = static_cast(val); +} + +// forward_list doesn't have an insert method +template::value, int> = 0> +void from_json(const BasicJsonType& j, std::forward_list& l) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + l.clear(); + std::transform(j.rbegin(), j.rend(), + std::front_inserter(l), [](const BasicJsonType & i) + { + return i.template get(); + }); +} + +// valarray doesn't have an insert method +template::value, int> = 0> +void from_json(const BasicJsonType& j, std::valarray& l) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + l.resize(j.size()); + std::transform(j.begin(), j.end(), std::begin(l), + [](const BasicJsonType & elem) + { + return elem.template get(); + }); +} + +template +auto from_json(const BasicJsonType& j, T (&arr)[N]) // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) +-> decltype(j.template get(), void()) +{ + for (std::size_t i = 0; i < N; ++i) + { + arr[i] = j.at(i).template get(); + } +} + +template +void from_json_array_impl(const BasicJsonType& j, typename BasicJsonType::array_t& arr, priority_tag<3> /*unused*/) +{ + arr = *j.template get_ptr(); +} + +template +auto from_json_array_impl(const BasicJsonType& j, std::array& arr, + priority_tag<2> /*unused*/) +-> decltype(j.template get(), void()) +{ + for (std::size_t i = 0; i < N; ++i) + { + arr[i] = j.at(i).template get(); + } +} + +template::value, + int> = 0> +auto from_json_array_impl(const BasicJsonType& j, ConstructibleArrayType& arr, priority_tag<1> /*unused*/) +-> decltype( + arr.reserve(std::declval()), + j.template get(), + void()) +{ + using std::end; + + ConstructibleArrayType ret; + ret.reserve(j.size()); + std::transform(j.begin(), j.end(), + std::inserter(ret, end(ret)), [](const BasicJsonType & i) + { + // get() returns *this, this won't call a from_json + // method when value_type is BasicJsonType + return i.template get(); + }); + arr = std::move(ret); +} + +template::value, + int> = 0> +void from_json_array_impl(const BasicJsonType& j, ConstructibleArrayType& arr, + priority_tag<0> /*unused*/) +{ + using std::end; + + ConstructibleArrayType ret; + std::transform( + j.begin(), j.end(), std::inserter(ret, end(ret)), + [](const BasicJsonType & i) + { + // get() returns *this, this won't call a from_json + // method when value_type is BasicJsonType + return i.template get(); + }); + arr = std::move(ret); +} + +template < typename BasicJsonType, typename ConstructibleArrayType, + enable_if_t < + is_constructible_array_type::value&& + !is_constructible_object_type::value&& + !is_constructible_string_type::value&& + !std::is_same::value&& + !is_basic_json::value, + int > = 0 > +auto from_json(const BasicJsonType& j, ConstructibleArrayType& arr) +-> decltype(from_json_array_impl(j, arr, priority_tag<3> {}), +j.template get(), +void()) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + + from_json_array_impl(j, arr, priority_tag<3> {}); +} + +template < typename BasicJsonType, typename T, std::size_t... Idx > +std::array from_json_inplace_array_impl(BasicJsonType&& j, + identity_tag> /*unused*/, index_sequence /*unused*/) +{ + return { { std::forward(j).at(Idx).template get()... } }; +} + +template < typename BasicJsonType, typename T, std::size_t N > +auto from_json(BasicJsonType&& j, identity_tag> tag) +-> decltype(from_json_inplace_array_impl(std::forward(j), tag, make_index_sequence {})) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + + return from_json_inplace_array_impl(std::forward(j), tag, make_index_sequence {}); +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::binary_t& bin) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_binary())) + { + JSON_THROW(type_error::create(302, "type must be binary, but is " + std::string(j.type_name()), j)); + } + + bin = *j.template get_ptr(); +} + +template::value, int> = 0> +void from_json(const BasicJsonType& j, ConstructibleObjectType& obj) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_object())) + { + JSON_THROW(type_error::create(302, "type must be object, but is " + std::string(j.type_name()), j)); + } + + ConstructibleObjectType ret; + const auto* inner_object = j.template get_ptr(); + using value_type = typename ConstructibleObjectType::value_type; + std::transform( + inner_object->begin(), inner_object->end(), + std::inserter(ret, ret.begin()), + [](typename BasicJsonType::object_t::value_type const & p) + { + return value_type(p.first, p.second.template get()); + }); + obj = std::move(ret); +} + +// overload for arithmetic types, not chosen for basic_json template arguments +// (BooleanType, etc..); note: Is it really necessary to provide explicit +// overloads for boolean_t etc. in case of a custom BooleanType which is not +// an arithmetic type? +template < typename BasicJsonType, typename ArithmeticType, + enable_if_t < + std::is_arithmetic::value&& + !std::is_same::value&& + !std::is_same::value&& + !std::is_same::value&& + !std::is_same::value, + int > = 0 > +void from_json(const BasicJsonType& j, ArithmeticType& val) +{ + switch (static_cast(j)) + { + case value_t::number_unsigned: + { + val = static_cast(*j.template get_ptr()); + break; + } + case value_t::number_integer: + { + val = static_cast(*j.template get_ptr()); + break; + } + case value_t::number_float: + { + val = static_cast(*j.template get_ptr()); + break; + } + case value_t::boolean: + { + val = static_cast(*j.template get_ptr()); + break; + } + + case value_t::null: + case value_t::object: + case value_t::array: + case value_t::string: + case value_t::binary: + case value_t::discarded: + default: + JSON_THROW(type_error::create(302, "type must be number, but is " + std::string(j.type_name()), j)); + } +} + +template +std::tuple from_json_tuple_impl_base(BasicJsonType&& j, index_sequence /*unused*/) +{ + return std::make_tuple(std::forward(j).at(Idx).template get()...); +} + +template < typename BasicJsonType, class A1, class A2 > +std::pair from_json_tuple_impl(BasicJsonType&& j, identity_tag> /*unused*/, priority_tag<0> /*unused*/) +{ + return {std::forward(j).at(0).template get(), + std::forward(j).at(1).template get()}; +} + +template +void from_json_tuple_impl(BasicJsonType&& j, std::pair& p, priority_tag<1> /*unused*/) +{ + p = from_json_tuple_impl(std::forward(j), identity_tag> {}, priority_tag<0> {}); +} + +template +std::tuple from_json_tuple_impl(BasicJsonType&& j, identity_tag> /*unused*/, priority_tag<2> /*unused*/) +{ + return from_json_tuple_impl_base(std::forward(j), index_sequence_for {}); +} + +template +void from_json_tuple_impl(BasicJsonType&& j, std::tuple& t, priority_tag<3> /*unused*/) +{ + t = from_json_tuple_impl_base(std::forward(j), index_sequence_for {}); +} + +template +auto from_json(BasicJsonType&& j, TupleRelated&& t) +-> decltype(from_json_tuple_impl(std::forward(j), std::forward(t), priority_tag<3> {})) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + + return from_json_tuple_impl(std::forward(j), std::forward(t), priority_tag<3> {}); +} + +template < typename BasicJsonType, typename Key, typename Value, typename Compare, typename Allocator, + typename = enable_if_t < !std::is_constructible < + typename BasicJsonType::string_t, Key >::value >> +void from_json(const BasicJsonType& j, std::map& m) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + m.clear(); + for (const auto& p : j) + { + if (JSON_HEDLEY_UNLIKELY(!p.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(p.type_name()), j)); + } + m.emplace(p.at(0).template get(), p.at(1).template get()); + } +} + +template < typename BasicJsonType, typename Key, typename Value, typename Hash, typename KeyEqual, typename Allocator, + typename = enable_if_t < !std::is_constructible < + typename BasicJsonType::string_t, Key >::value >> +void from_json(const BasicJsonType& j, std::unordered_map& m) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + m.clear(); + for (const auto& p : j) + { + if (JSON_HEDLEY_UNLIKELY(!p.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(p.type_name()), j)); + } + m.emplace(p.at(0).template get(), p.at(1).template get()); + } +} + +#if JSON_HAS_FILESYSTEM || JSON_HAS_EXPERIMENTAL_FILESYSTEM +template +void from_json(const BasicJsonType& j, std_fs::path& p) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_string())) + { + JSON_THROW(type_error::create(302, "type must be string, but is " + std::string(j.type_name()), j)); + } + p = *j.template get_ptr(); +} +#endif + +struct from_json_fn +{ + template + auto operator()(const BasicJsonType& j, T&& val) const + noexcept(noexcept(from_json(j, std::forward(val)))) + -> decltype(from_json(j, std::forward(val))) + { + return from_json(j, std::forward(val)); + } +}; +} // namespace detail + +/// namespace to hold default `from_json` function +/// to see why this is required: +/// http://www.open-std.org/jtc1/sc22/wg21/docs/papers/2015/n4381.html +namespace // NOLINT(cert-dcl59-cpp,fuchsia-header-anon-namespaces,google-build-namespaces) +{ +constexpr const auto& from_json = detail::static_const::value; // NOLINT(misc-definitions-in-headers) +} // namespace +} // namespace nlohmann + +// #include + + +#include // copy +#include // begin, end +#include // string +#include // tuple, get +#include // is_same, is_constructible, is_floating_point, is_enum, underlying_type +#include // move, forward, declval, pair +#include // valarray +#include // vector + +// #include + +// #include + + +#include // size_t +#include // input_iterator_tag +#include // string, to_string +#include // tuple_size, get, tuple_element +#include // move + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +template +void int_to_string( string_type& target, std::size_t value ) +{ + // For ADL + using std::to_string; + target = to_string(value); +} +template class iteration_proxy_value +{ + public: + using difference_type = std::ptrdiff_t; + using value_type = iteration_proxy_value; + using pointer = value_type * ; + using reference = value_type & ; + using iterator_category = std::input_iterator_tag; + using string_type = typename std::remove_cv< typename std::remove_reference().key() ) >::type >::type; + + private: + /// the iterator + IteratorType anchor; + /// an index for arrays (used to create key names) + std::size_t array_index = 0; + /// last stringified array index + mutable std::size_t array_index_last = 0; + /// a string representation of the array index + mutable string_type array_index_str = "0"; + /// an empty string (to return a reference for primitive values) + const string_type empty_str{}; + + public: + explicit iteration_proxy_value(IteratorType it) noexcept + : anchor(std::move(it)) + {} + + /// dereference operator (needed for range-based for) + iteration_proxy_value& operator*() + { + return *this; + } + + /// increment operator (needed for range-based for) + iteration_proxy_value& operator++() + { + ++anchor; + ++array_index; + + return *this; + } + + /// equality operator (needed for InputIterator) + bool operator==(const iteration_proxy_value& o) const + { + return anchor == o.anchor; + } + + /// inequality operator (needed for range-based for) + bool operator!=(const iteration_proxy_value& o) const + { + return anchor != o.anchor; + } + + /// return key of the iterator + const string_type& key() const + { + JSON_ASSERT(anchor.m_object != nullptr); + + switch (anchor.m_object->type()) + { + // use integer array index as key + case value_t::array: + { + if (array_index != array_index_last) + { + int_to_string( array_index_str, array_index ); + array_index_last = array_index; + } + return array_index_str; + } + + // use key from the object + case value_t::object: + return anchor.key(); + + // use an empty key for all primitive types + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + return empty_str; + } + } + + /// return value of the iterator + typename IteratorType::reference value() const + { + return anchor.value(); + } +}; + +/// proxy class for the items() function +template class iteration_proxy +{ + private: + /// the container to iterate + typename IteratorType::reference container; + + public: + /// construct iteration proxy from a container + explicit iteration_proxy(typename IteratorType::reference cont) noexcept + : container(cont) {} + + /// return iterator begin (needed for range-based for) + iteration_proxy_value begin() noexcept + { + return iteration_proxy_value(container.begin()); + } + + /// return iterator end (needed for range-based for) + iteration_proxy_value end() noexcept + { + return iteration_proxy_value(container.end()); + } +}; +// Structured Bindings Support +// For further reference see https://blog.tartanllama.xyz/structured-bindings/ +// And see https://github.com/nlohmann/json/pull/1391 +template = 0> +auto get(const nlohmann::detail::iteration_proxy_value& i) -> decltype(i.key()) +{ + return i.key(); +} +// Structured Bindings Support +// For further reference see https://blog.tartanllama.xyz/structured-bindings/ +// And see https://github.com/nlohmann/json/pull/1391 +template = 0> +auto get(const nlohmann::detail::iteration_proxy_value& i) -> decltype(i.value()) +{ + return i.value(); +} +} // namespace detail +} // namespace nlohmann + +// The Addition to the STD Namespace is required to add +// Structured Bindings Support to the iteration_proxy_value class +// For further reference see https://blog.tartanllama.xyz/structured-bindings/ +// And see https://github.com/nlohmann/json/pull/1391 +namespace std +{ +#if defined(__clang__) + // Fix: https://github.com/nlohmann/json/issues/1401 + #pragma clang diagnostic push + #pragma clang diagnostic ignored "-Wmismatched-tags" +#endif +template +class tuple_size<::nlohmann::detail::iteration_proxy_value> + : public std::integral_constant {}; + +template +class tuple_element> +{ + public: + using type = decltype( + get(std::declval < + ::nlohmann::detail::iteration_proxy_value> ())); +}; +#if defined(__clang__) + #pragma clang diagnostic pop +#endif +} // namespace std + +// #include + +// #include + +// #include + + +#if JSON_HAS_EXPERIMENTAL_FILESYSTEM +#include +namespace nlohmann::detail +{ +namespace std_fs = std::experimental::filesystem; +} // namespace nlohmann::detail +#elif JSON_HAS_FILESYSTEM +#include +namespace nlohmann::detail +{ +namespace std_fs = std::filesystem; +} // namespace nlohmann::detail +#endif + +namespace nlohmann +{ +namespace detail +{ +////////////////// +// constructors // +////////////////// + +/* + * Note all external_constructor<>::construct functions need to call + * j.m_value.destroy(j.m_type) to avoid a memory leak in case j contains an + * allocated value (e.g., a string). See bug issue + * https://github.com/nlohmann/json/issues/2865 for more information. + */ + +template struct external_constructor; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, typename BasicJsonType::boolean_t b) noexcept + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::boolean; + j.m_value = b; + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, const typename BasicJsonType::string_t& s) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::string; + j.m_value = s; + j.assert_invariant(); + } + + template + static void construct(BasicJsonType& j, typename BasicJsonType::string_t&& s) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::string; + j.m_value = std::move(s); + j.assert_invariant(); + } + + template < typename BasicJsonType, typename CompatibleStringType, + enable_if_t < !std::is_same::value, + int > = 0 > + static void construct(BasicJsonType& j, const CompatibleStringType& str) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::string; + j.m_value.string = j.template create(str); + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, const typename BasicJsonType::binary_t& b) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::binary; + j.m_value = typename BasicJsonType::binary_t(b); + j.assert_invariant(); + } + + template + static void construct(BasicJsonType& j, typename BasicJsonType::binary_t&& b) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::binary; + j.m_value = typename BasicJsonType::binary_t(std::move(b)); + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, typename BasicJsonType::number_float_t val) noexcept + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::number_float; + j.m_value = val; + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, typename BasicJsonType::number_unsigned_t val) noexcept + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::number_unsigned; + j.m_value = val; + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, typename BasicJsonType::number_integer_t val) noexcept + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::number_integer; + j.m_value = val; + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, const typename BasicJsonType::array_t& arr) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::array; + j.m_value = arr; + j.set_parents(); + j.assert_invariant(); + } + + template + static void construct(BasicJsonType& j, typename BasicJsonType::array_t&& arr) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::array; + j.m_value = std::move(arr); + j.set_parents(); + j.assert_invariant(); + } + + template < typename BasicJsonType, typename CompatibleArrayType, + enable_if_t < !std::is_same::value, + int > = 0 > + static void construct(BasicJsonType& j, const CompatibleArrayType& arr) + { + using std::begin; + using std::end; + + j.m_value.destroy(j.m_type); + j.m_type = value_t::array; + j.m_value.array = j.template create(begin(arr), end(arr)); + j.set_parents(); + j.assert_invariant(); + } + + template + static void construct(BasicJsonType& j, const std::vector& arr) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::array; + j.m_value = value_t::array; + j.m_value.array->reserve(arr.size()); + for (const bool x : arr) + { + j.m_value.array->push_back(x); + j.set_parent(j.m_value.array->back()); + } + j.assert_invariant(); + } + + template::value, int> = 0> + static void construct(BasicJsonType& j, const std::valarray& arr) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::array; + j.m_value = value_t::array; + j.m_value.array->resize(arr.size()); + if (arr.size() > 0) + { + std::copy(std::begin(arr), std::end(arr), j.m_value.array->begin()); + } + j.set_parents(); + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, const typename BasicJsonType::object_t& obj) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::object; + j.m_value = obj; + j.set_parents(); + j.assert_invariant(); + } + + template + static void construct(BasicJsonType& j, typename BasicJsonType::object_t&& obj) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::object; + j.m_value = std::move(obj); + j.set_parents(); + j.assert_invariant(); + } + + template < typename BasicJsonType, typename CompatibleObjectType, + enable_if_t < !std::is_same::value, int > = 0 > + static void construct(BasicJsonType& j, const CompatibleObjectType& obj) + { + using std::begin; + using std::end; + + j.m_value.destroy(j.m_type); + j.m_type = value_t::object; + j.m_value.object = j.template create(begin(obj), end(obj)); + j.set_parents(); + j.assert_invariant(); + } +}; + +///////////// +// to_json // +///////////// + +template::value, int> = 0> +void to_json(BasicJsonType& j, T b) noexcept +{ + external_constructor::construct(j, b); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, const CompatibleString& s) +{ + external_constructor::construct(j, s); +} + +template +void to_json(BasicJsonType& j, typename BasicJsonType::string_t&& s) +{ + external_constructor::construct(j, std::move(s)); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, FloatType val) noexcept +{ + external_constructor::construct(j, static_cast(val)); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, CompatibleNumberUnsignedType val) noexcept +{ + external_constructor::construct(j, static_cast(val)); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, CompatibleNumberIntegerType val) noexcept +{ + external_constructor::construct(j, static_cast(val)); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, EnumType e) noexcept +{ + using underlying_type = typename std::underlying_type::type; + external_constructor::construct(j, static_cast(e)); +} + +template +void to_json(BasicJsonType& j, const std::vector& e) +{ + external_constructor::construct(j, e); +} + +template < typename BasicJsonType, typename CompatibleArrayType, + enable_if_t < is_compatible_array_type::value&& + !is_compatible_object_type::value&& + !is_compatible_string_type::value&& + !std::is_same::value&& + !is_basic_json::value, + int > = 0 > +void to_json(BasicJsonType& j, const CompatibleArrayType& arr) +{ + external_constructor::construct(j, arr); +} + +template +void to_json(BasicJsonType& j, const typename BasicJsonType::binary_t& bin) +{ + external_constructor::construct(j, bin); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, const std::valarray& arr) +{ + external_constructor::construct(j, std::move(arr)); +} + +template +void to_json(BasicJsonType& j, typename BasicJsonType::array_t&& arr) +{ + external_constructor::construct(j, std::move(arr)); +} + +template < typename BasicJsonType, typename CompatibleObjectType, + enable_if_t < is_compatible_object_type::value&& !is_basic_json::value, int > = 0 > +void to_json(BasicJsonType& j, const CompatibleObjectType& obj) +{ + external_constructor::construct(j, obj); +} + +template +void to_json(BasicJsonType& j, typename BasicJsonType::object_t&& obj) +{ + external_constructor::construct(j, std::move(obj)); +} + +template < + typename BasicJsonType, typename T, std::size_t N, + enable_if_t < !std::is_constructible::value, // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) + int > = 0 > +void to_json(BasicJsonType& j, const T(&arr)[N]) // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) +{ + external_constructor::construct(j, arr); +} + +template < typename BasicJsonType, typename T1, typename T2, enable_if_t < std::is_constructible::value&& std::is_constructible::value, int > = 0 > +void to_json(BasicJsonType& j, const std::pair& p) +{ + j = { p.first, p.second }; +} + +// for https://github.com/nlohmann/json/pull/1134 +template>::value, int> = 0> +void to_json(BasicJsonType& j, const T& b) +{ + j = { {b.key(), b.value()} }; +} + +template +void to_json_tuple_impl(BasicJsonType& j, const Tuple& t, index_sequence /*unused*/) +{ + j = { std::get(t)... }; +} + +template::value, int > = 0> +void to_json(BasicJsonType& j, const T& t) +{ + to_json_tuple_impl(j, t, make_index_sequence::value> {}); +} + +#if JSON_HAS_FILESYSTEM || JSON_HAS_EXPERIMENTAL_FILESYSTEM +template +void to_json(BasicJsonType& j, const std_fs::path& p) +{ + j = p.string(); +} +#endif + +struct to_json_fn +{ + template + auto operator()(BasicJsonType& j, T&& val) const noexcept(noexcept(to_json(j, std::forward(val)))) + -> decltype(to_json(j, std::forward(val)), void()) + { + return to_json(j, std::forward(val)); + } +}; +} // namespace detail + +/// namespace to hold default `to_json` function +/// to see why this is required: +/// http://www.open-std.org/jtc1/sc22/wg21/docs/papers/2015/n4381.html +namespace // NOLINT(cert-dcl59-cpp,fuchsia-header-anon-namespaces,google-build-namespaces) +{ +constexpr const auto& to_json = detail::static_const::value; // NOLINT(misc-definitions-in-headers) +} // namespace +} // namespace nlohmann + +// #include + +// #include + + +namespace nlohmann +{ + +/// @sa https://json.nlohmann.me/api/adl_serializer/ +template +struct adl_serializer +{ + /// @brief convert a JSON value to any value type + /// @sa https://json.nlohmann.me/api/adl_serializer/from_json/ + template + static auto from_json(BasicJsonType && j, TargetType& val) noexcept( + noexcept(::nlohmann::from_json(std::forward(j), val))) + -> decltype(::nlohmann::from_json(std::forward(j), val), void()) + { + ::nlohmann::from_json(std::forward(j), val); + } + + /// @brief convert a JSON value to any value type + /// @sa https://json.nlohmann.me/api/adl_serializer/from_json/ + template + static auto from_json(BasicJsonType && j) noexcept( + noexcept(::nlohmann::from_json(std::forward(j), detail::identity_tag {}))) + -> decltype(::nlohmann::from_json(std::forward(j), detail::identity_tag {})) + { + return ::nlohmann::from_json(std::forward(j), detail::identity_tag {}); + } + + /// @brief convert any value type to a JSON value + /// @sa https://json.nlohmann.me/api/adl_serializer/to_json/ + template + static auto to_json(BasicJsonType& j, TargetType && val) noexcept( + noexcept(::nlohmann::to_json(j, std::forward(val)))) + -> decltype(::nlohmann::to_json(j, std::forward(val)), void()) + { + ::nlohmann::to_json(j, std::forward(val)); + } +}; +} // namespace nlohmann + +// #include + + +#include // uint8_t, uint64_t +#include // tie +#include // move + +namespace nlohmann +{ + +/// @brief an internal type for a backed binary type +/// @sa https://json.nlohmann.me/api/byte_container_with_subtype/ +template +class byte_container_with_subtype : public BinaryType +{ + public: + using container_type = BinaryType; + using subtype_type = std::uint64_t; + + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/byte_container_with_subtype/ + byte_container_with_subtype() noexcept(noexcept(container_type())) + : container_type() + {} + + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/byte_container_with_subtype/ + byte_container_with_subtype(const container_type& b) noexcept(noexcept(container_type(b))) + : container_type(b) + {} + + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/byte_container_with_subtype/ + byte_container_with_subtype(container_type&& b) noexcept(noexcept(container_type(std::move(b)))) + : container_type(std::move(b)) + {} + + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/byte_container_with_subtype/ + byte_container_with_subtype(const container_type& b, subtype_type subtype_) noexcept(noexcept(container_type(b))) + : container_type(b) + , m_subtype(subtype_) + , m_has_subtype(true) + {} + + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/byte_container_with_subtype/ + byte_container_with_subtype(container_type&& b, subtype_type subtype_) noexcept(noexcept(container_type(std::move(b)))) + : container_type(std::move(b)) + , m_subtype(subtype_) + , m_has_subtype(true) + {} + + bool operator==(const byte_container_with_subtype& rhs) const + { + return std::tie(static_cast(*this), m_subtype, m_has_subtype) == + std::tie(static_cast(rhs), rhs.m_subtype, rhs.m_has_subtype); + } + + bool operator!=(const byte_container_with_subtype& rhs) const + { + return !(rhs == *this); + } + + /// @brief sets the binary subtype + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/set_subtype/ + void set_subtype(subtype_type subtype_) noexcept + { + m_subtype = subtype_; + m_has_subtype = true; + } + + /// @brief return the binary subtype + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/subtype/ + constexpr subtype_type subtype() const noexcept + { + return m_has_subtype ? m_subtype : static_cast(-1); + } + + /// @brief return whether the value has a subtype + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/has_subtype/ + constexpr bool has_subtype() const noexcept + { + return m_has_subtype; + } + + /// @brief clears the binary subtype + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/clear_subtype/ + void clear_subtype() noexcept + { + m_subtype = 0; + m_has_subtype = false; + } + + private: + subtype_type m_subtype = 0; + bool m_has_subtype = false; +}; + +} // namespace nlohmann + +// #include + +// #include + +// #include + +// #include + + +#include // uint8_t +#include // size_t +#include // hash + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ + +// boost::hash_combine +inline std::size_t combine(std::size_t seed, std::size_t h) noexcept +{ + seed ^= h + 0x9e3779b9 + (seed << 6U) + (seed >> 2U); + return seed; +} + +/*! +@brief hash a JSON value + +The hash function tries to rely on std::hash where possible. Furthermore, the +type of the JSON value is taken into account to have different hash values for +null, 0, 0U, and false, etc. + +@tparam BasicJsonType basic_json specialization +@param j JSON value to hash +@return hash value of j +*/ +template +std::size_t hash(const BasicJsonType& j) +{ + using string_t = typename BasicJsonType::string_t; + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + + const auto type = static_cast(j.type()); + switch (j.type()) + { + case BasicJsonType::value_t::null: + case BasicJsonType::value_t::discarded: + { + return combine(type, 0); + } + + case BasicJsonType::value_t::object: + { + auto seed = combine(type, j.size()); + for (const auto& element : j.items()) + { + const auto h = std::hash {}(element.key()); + seed = combine(seed, h); + seed = combine(seed, hash(element.value())); + } + return seed; + } + + case BasicJsonType::value_t::array: + { + auto seed = combine(type, j.size()); + for (const auto& element : j) + { + seed = combine(seed, hash(element)); + } + return seed; + } + + case BasicJsonType::value_t::string: + { + const auto h = std::hash {}(j.template get_ref()); + return combine(type, h); + } + + case BasicJsonType::value_t::boolean: + { + const auto h = std::hash {}(j.template get()); + return combine(type, h); + } + + case BasicJsonType::value_t::number_integer: + { + const auto h = std::hash {}(j.template get()); + return combine(type, h); + } + + case BasicJsonType::value_t::number_unsigned: + { + const auto h = std::hash {}(j.template get()); + return combine(type, h); + } + + case BasicJsonType::value_t::number_float: + { + const auto h = std::hash {}(j.template get()); + return combine(type, h); + } + + case BasicJsonType::value_t::binary: + { + auto seed = combine(type, j.get_binary().size()); + const auto h = std::hash {}(j.get_binary().has_subtype()); + seed = combine(seed, h); + seed = combine(seed, static_cast(j.get_binary().subtype())); + for (const auto byte : j.get_binary()) + { + seed = combine(seed, std::hash {}(byte)); + } + return seed; + } + + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + return 0; // LCOV_EXCL_LINE + } +} + +} // namespace detail +} // namespace nlohmann + +// #include + + +#include // generate_n +#include // array +#include // ldexp +#include // size_t +#include // uint8_t, uint16_t, uint32_t, uint64_t +#include // snprintf +#include // memcpy +#include // back_inserter +#include // numeric_limits +#include // char_traits, string +#include // make_pair, move +#include // vector + +// #include + +// #include + + +#include // array +#include // size_t +#include // strlen +#include // begin, end, iterator_traits, random_access_iterator_tag, distance, next +#include // shared_ptr, make_shared, addressof +#include // accumulate +#include // string, char_traits +#include // enable_if, is_base_of, is_pointer, is_integral, remove_pointer +#include // pair, declval + +#ifndef JSON_NO_IO + #include // FILE * + #include // istream +#endif // JSON_NO_IO + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +/// the supported input formats +enum class input_format_t { json, cbor, msgpack, ubjson, bson }; + +//////////////////// +// input adapters // +//////////////////// + +#ifndef JSON_NO_IO +/*! +Input adapter for stdio file access. This adapter read only 1 byte and do not use any + buffer. This adapter is a very low level adapter. +*/ +class file_input_adapter +{ + public: + using char_type = char; + + JSON_HEDLEY_NON_NULL(2) + explicit file_input_adapter(std::FILE* f) noexcept + : m_file(f) + {} + + // make class move-only + file_input_adapter(const file_input_adapter&) = delete; + file_input_adapter(file_input_adapter&&) noexcept = default; + file_input_adapter& operator=(const file_input_adapter&) = delete; + file_input_adapter& operator=(file_input_adapter&&) = delete; + ~file_input_adapter() = default; + + std::char_traits::int_type get_character() noexcept + { + return std::fgetc(m_file); + } + + private: + /// the file pointer to read from + std::FILE* m_file; +}; + + +/*! +Input adapter for a (caching) istream. Ignores a UFT Byte Order Mark at +beginning of input. Does not support changing the underlying std::streambuf +in mid-input. Maintains underlying std::istream and std::streambuf to support +subsequent use of standard std::istream operations to process any input +characters following those used in parsing the JSON input. Clears the +std::istream flags; any input errors (e.g., EOF) will be detected by the first +subsequent call for input from the std::istream. +*/ +class input_stream_adapter +{ + public: + using char_type = char; + + ~input_stream_adapter() + { + // clear stream flags; we use underlying streambuf I/O, do not + // maintain ifstream flags, except eof + if (is != nullptr) + { + is->clear(is->rdstate() & std::ios::eofbit); + } + } + + explicit input_stream_adapter(std::istream& i) + : is(&i), sb(i.rdbuf()) + {} + + // delete because of pointer members + input_stream_adapter(const input_stream_adapter&) = delete; + input_stream_adapter& operator=(input_stream_adapter&) = delete; + input_stream_adapter& operator=(input_stream_adapter&&) = delete; + + input_stream_adapter(input_stream_adapter&& rhs) noexcept + : is(rhs.is), sb(rhs.sb) + { + rhs.is = nullptr; + rhs.sb = nullptr; + } + + // std::istream/std::streambuf use std::char_traits::to_int_type, to + // ensure that std::char_traits::eof() and the character 0xFF do not + // end up as the same value, e.g. 0xFFFFFFFF. + std::char_traits::int_type get_character() + { + auto res = sb->sbumpc(); + // set eof manually, as we don't use the istream interface. + if (JSON_HEDLEY_UNLIKELY(res == std::char_traits::eof())) + { + is->clear(is->rdstate() | std::ios::eofbit); + } + return res; + } + + private: + /// the associated input stream + std::istream* is = nullptr; + std::streambuf* sb = nullptr; +}; +#endif // JSON_NO_IO + +// General-purpose iterator-based adapter. It might not be as fast as +// theoretically possible for some containers, but it is extremely versatile. +template +class iterator_input_adapter +{ + public: + using char_type = typename std::iterator_traits::value_type; + + iterator_input_adapter(IteratorType first, IteratorType last) + : current(std::move(first)), end(std::move(last)) + {} + + typename std::char_traits::int_type get_character() + { + if (JSON_HEDLEY_LIKELY(current != end)) + { + auto result = std::char_traits::to_int_type(*current); + std::advance(current, 1); + return result; + } + + return std::char_traits::eof(); + } + + private: + IteratorType current; + IteratorType end; + + template + friend struct wide_string_input_helper; + + bool empty() const + { + return current == end; + } +}; + + +template +struct wide_string_input_helper; + +template +struct wide_string_input_helper +{ + // UTF-32 + static void fill_buffer(BaseInputAdapter& input, + std::array::int_type, 4>& utf8_bytes, + size_t& utf8_bytes_index, + size_t& utf8_bytes_filled) + { + utf8_bytes_index = 0; + + if (JSON_HEDLEY_UNLIKELY(input.empty())) + { + utf8_bytes[0] = std::char_traits::eof(); + utf8_bytes_filled = 1; + } + else + { + // get the current character + const auto wc = input.get_character(); + + // UTF-32 to UTF-8 encoding + if (wc < 0x80) + { + utf8_bytes[0] = static_cast::int_type>(wc); + utf8_bytes_filled = 1; + } + else if (wc <= 0x7FF) + { + utf8_bytes[0] = static_cast::int_type>(0xC0u | ((static_cast(wc) >> 6u) & 0x1Fu)); + utf8_bytes[1] = static_cast::int_type>(0x80u | (static_cast(wc) & 0x3Fu)); + utf8_bytes_filled = 2; + } + else if (wc <= 0xFFFF) + { + utf8_bytes[0] = static_cast::int_type>(0xE0u | ((static_cast(wc) >> 12u) & 0x0Fu)); + utf8_bytes[1] = static_cast::int_type>(0x80u | ((static_cast(wc) >> 6u) & 0x3Fu)); + utf8_bytes[2] = static_cast::int_type>(0x80u | (static_cast(wc) & 0x3Fu)); + utf8_bytes_filled = 3; + } + else if (wc <= 0x10FFFF) + { + utf8_bytes[0] = static_cast::int_type>(0xF0u | ((static_cast(wc) >> 18u) & 0x07u)); + utf8_bytes[1] = static_cast::int_type>(0x80u | ((static_cast(wc) >> 12u) & 0x3Fu)); + utf8_bytes[2] = static_cast::int_type>(0x80u | ((static_cast(wc) >> 6u) & 0x3Fu)); + utf8_bytes[3] = static_cast::int_type>(0x80u | (static_cast(wc) & 0x3Fu)); + utf8_bytes_filled = 4; + } + else + { + // unknown character + utf8_bytes[0] = static_cast::int_type>(wc); + utf8_bytes_filled = 1; + } + } + } +}; + +template +struct wide_string_input_helper +{ + // UTF-16 + static void fill_buffer(BaseInputAdapter& input, + std::array::int_type, 4>& utf8_bytes, + size_t& utf8_bytes_index, + size_t& utf8_bytes_filled) + { + utf8_bytes_index = 0; + + if (JSON_HEDLEY_UNLIKELY(input.empty())) + { + utf8_bytes[0] = std::char_traits::eof(); + utf8_bytes_filled = 1; + } + else + { + // get the current character + const auto wc = input.get_character(); + + // UTF-16 to UTF-8 encoding + if (wc < 0x80) + { + utf8_bytes[0] = static_cast::int_type>(wc); + utf8_bytes_filled = 1; + } + else if (wc <= 0x7FF) + { + utf8_bytes[0] = static_cast::int_type>(0xC0u | ((static_cast(wc) >> 6u))); + utf8_bytes[1] = static_cast::int_type>(0x80u | (static_cast(wc) & 0x3Fu)); + utf8_bytes_filled = 2; + } + else if (0xD800 > wc || wc >= 0xE000) + { + utf8_bytes[0] = static_cast::int_type>(0xE0u | ((static_cast(wc) >> 12u))); + utf8_bytes[1] = static_cast::int_type>(0x80u | ((static_cast(wc) >> 6u) & 0x3Fu)); + utf8_bytes[2] = static_cast::int_type>(0x80u | (static_cast(wc) & 0x3Fu)); + utf8_bytes_filled = 3; + } + else + { + if (JSON_HEDLEY_UNLIKELY(!input.empty())) + { + const auto wc2 = static_cast(input.get_character()); + const auto charcode = 0x10000u + (((static_cast(wc) & 0x3FFu) << 10u) | (wc2 & 0x3FFu)); + utf8_bytes[0] = static_cast::int_type>(0xF0u | (charcode >> 18u)); + utf8_bytes[1] = static_cast::int_type>(0x80u | ((charcode >> 12u) & 0x3Fu)); + utf8_bytes[2] = static_cast::int_type>(0x80u | ((charcode >> 6u) & 0x3Fu)); + utf8_bytes[3] = static_cast::int_type>(0x80u | (charcode & 0x3Fu)); + utf8_bytes_filled = 4; + } + else + { + utf8_bytes[0] = static_cast::int_type>(wc); + utf8_bytes_filled = 1; + } + } + } + } +}; + +// Wraps another input apdater to convert wide character types into individual bytes. +template +class wide_string_input_adapter +{ + public: + using char_type = char; + + wide_string_input_adapter(BaseInputAdapter base) + : base_adapter(base) {} + + typename std::char_traits::int_type get_character() noexcept + { + // check if buffer needs to be filled + if (utf8_bytes_index == utf8_bytes_filled) + { + fill_buffer(); + + JSON_ASSERT(utf8_bytes_filled > 0); + JSON_ASSERT(utf8_bytes_index == 0); + } + + // use buffer + JSON_ASSERT(utf8_bytes_filled > 0); + JSON_ASSERT(utf8_bytes_index < utf8_bytes_filled); + return utf8_bytes[utf8_bytes_index++]; + } + + private: + BaseInputAdapter base_adapter; + + template + void fill_buffer() + { + wide_string_input_helper::fill_buffer(base_adapter, utf8_bytes, utf8_bytes_index, utf8_bytes_filled); + } + + /// a buffer for UTF-8 bytes + std::array::int_type, 4> utf8_bytes = {{0, 0, 0, 0}}; + + /// index to the utf8_codes array for the next valid byte + std::size_t utf8_bytes_index = 0; + /// number of valid bytes in the utf8_codes array + std::size_t utf8_bytes_filled = 0; +}; + + +template +struct iterator_input_adapter_factory +{ + using iterator_type = IteratorType; + using char_type = typename std::iterator_traits::value_type; + using adapter_type = iterator_input_adapter; + + static adapter_type create(IteratorType first, IteratorType last) + { + return adapter_type(std::move(first), std::move(last)); + } +}; + +template +struct is_iterator_of_multibyte +{ + using value_type = typename std::iterator_traits::value_type; + enum + { + value = sizeof(value_type) > 1 + }; +}; + +template +struct iterator_input_adapter_factory::value>> +{ + using iterator_type = IteratorType; + using char_type = typename std::iterator_traits::value_type; + using base_adapter_type = iterator_input_adapter; + using adapter_type = wide_string_input_adapter; + + static adapter_type create(IteratorType first, IteratorType last) + { + return adapter_type(base_adapter_type(std::move(first), std::move(last))); + } +}; + +// General purpose iterator-based input +template +typename iterator_input_adapter_factory::adapter_type input_adapter(IteratorType first, IteratorType last) +{ + using factory_type = iterator_input_adapter_factory; + return factory_type::create(first, last); +} + +// Convenience shorthand from container to iterator +// Enables ADL on begin(container) and end(container) +// Encloses the using declarations in namespace for not to leak them to outside scope + +namespace container_input_adapter_factory_impl +{ + +using std::begin; +using std::end; + +template +struct container_input_adapter_factory {}; + +template +struct container_input_adapter_factory< ContainerType, + void_t()), end(std::declval()))>> + { + using adapter_type = decltype(input_adapter(begin(std::declval()), end(std::declval()))); + + static adapter_type create(const ContainerType& container) +{ + return input_adapter(begin(container), end(container)); +} + }; + +} // namespace container_input_adapter_factory_impl + +template +typename container_input_adapter_factory_impl::container_input_adapter_factory::adapter_type input_adapter(const ContainerType& container) +{ + return container_input_adapter_factory_impl::container_input_adapter_factory::create(container); +} + +#ifndef JSON_NO_IO +// Special cases with fast paths +inline file_input_adapter input_adapter(std::FILE* file) +{ + return file_input_adapter(file); +} + +inline input_stream_adapter input_adapter(std::istream& stream) +{ + return input_stream_adapter(stream); +} + +inline input_stream_adapter input_adapter(std::istream&& stream) +{ + return input_stream_adapter(stream); +} +#endif // JSON_NO_IO + +using contiguous_bytes_input_adapter = decltype(input_adapter(std::declval(), std::declval())); + +// Null-delimited strings, and the like. +template < typename CharT, + typename std::enable_if < + std::is_pointer::value&& + !std::is_array::value&& + std::is_integral::type>::value&& + sizeof(typename std::remove_pointer::type) == 1, + int >::type = 0 > +contiguous_bytes_input_adapter input_adapter(CharT b) +{ + auto length = std::strlen(reinterpret_cast(b)); + const auto* ptr = reinterpret_cast(b); + return input_adapter(ptr, ptr + length); +} + +template +auto input_adapter(T (&array)[N]) -> decltype(input_adapter(array, array + N)) // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) +{ + return input_adapter(array, array + N); +} + +// This class only handles inputs of input_buffer_adapter type. +// It's required so that expressions like {ptr, len} can be implicitly cast +// to the correct adapter. +class span_input_adapter +{ + public: + template < typename CharT, + typename std::enable_if < + std::is_pointer::value&& + std::is_integral::type>::value&& + sizeof(typename std::remove_pointer::type) == 1, + int >::type = 0 > + span_input_adapter(CharT b, std::size_t l) + : ia(reinterpret_cast(b), reinterpret_cast(b) + l) {} + + template::iterator_category, std::random_access_iterator_tag>::value, + int>::type = 0> + span_input_adapter(IteratorType first, IteratorType last) + : ia(input_adapter(first, last)) {} + + contiguous_bytes_input_adapter&& get() + { + return std::move(ia); // NOLINT(hicpp-move-const-arg,performance-move-const-arg) + } + + private: + contiguous_bytes_input_adapter ia; +}; +} // namespace detail +} // namespace nlohmann + +// #include + + +#include +#include // string +#include // move +#include // vector + +// #include + +// #include + + +namespace nlohmann +{ + +/*! +@brief SAX interface + +This class describes the SAX interface used by @ref nlohmann::json::sax_parse. +Each function is called in different situations while the input is parsed. The +boolean return value informs the parser whether to continue processing the +input. +*/ +template +struct json_sax +{ + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + + /*! + @brief a null value was read + @return whether parsing should proceed + */ + virtual bool null() = 0; + + /*! + @brief a boolean value was read + @param[in] val boolean value + @return whether parsing should proceed + */ + virtual bool boolean(bool val) = 0; + + /*! + @brief an integer number was read + @param[in] val integer value + @return whether parsing should proceed + */ + virtual bool number_integer(number_integer_t val) = 0; + + /*! + @brief an unsigned integer number was read + @param[in] val unsigned integer value + @return whether parsing should proceed + */ + virtual bool number_unsigned(number_unsigned_t val) = 0; + + /*! + @brief a floating-point number was read + @param[in] val floating-point value + @param[in] s raw token value + @return whether parsing should proceed + */ + virtual bool number_float(number_float_t val, const string_t& s) = 0; + + /*! + @brief a string value was read + @param[in] val string value + @return whether parsing should proceed + @note It is safe to move the passed string value. + */ + virtual bool string(string_t& val) = 0; + + /*! + @brief a binary value was read + @param[in] val binary value + @return whether parsing should proceed + @note It is safe to move the passed binary value. + */ + virtual bool binary(binary_t& val) = 0; + + /*! + @brief the beginning of an object was read + @param[in] elements number of object elements or -1 if unknown + @return whether parsing should proceed + @note binary formats may report the number of elements + */ + virtual bool start_object(std::size_t elements) = 0; + + /*! + @brief an object key was read + @param[in] val object key + @return whether parsing should proceed + @note It is safe to move the passed string. + */ + virtual bool key(string_t& val) = 0; + + /*! + @brief the end of an object was read + @return whether parsing should proceed + */ + virtual bool end_object() = 0; + + /*! + @brief the beginning of an array was read + @param[in] elements number of array elements or -1 if unknown + @return whether parsing should proceed + @note binary formats may report the number of elements + */ + virtual bool start_array(std::size_t elements) = 0; + + /*! + @brief the end of an array was read + @return whether parsing should proceed + */ + virtual bool end_array() = 0; + + /*! + @brief a parse error occurred + @param[in] position the position in the input where the error occurs + @param[in] last_token the last read token + @param[in] ex an exception object describing the error + @return whether parsing should proceed (must return false) + */ + virtual bool parse_error(std::size_t position, + const std::string& last_token, + const detail::exception& ex) = 0; + + json_sax() = default; + json_sax(const json_sax&) = default; + json_sax(json_sax&&) noexcept = default; + json_sax& operator=(const json_sax&) = default; + json_sax& operator=(json_sax&&) noexcept = default; + virtual ~json_sax() = default; +}; + + +namespace detail +{ +/*! +@brief SAX implementation to create a JSON value from SAX events + +This class implements the @ref json_sax interface and processes the SAX events +to create a JSON value which makes it basically a DOM parser. The structure or +hierarchy of the JSON value is managed by the stack `ref_stack` which contains +a pointer to the respective array or object for each recursion depth. + +After successful parsing, the value that is passed by reference to the +constructor contains the parsed value. + +@tparam BasicJsonType the JSON type +*/ +template +class json_sax_dom_parser +{ + public: + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + + /*! + @param[in,out] r reference to a JSON value that is manipulated while + parsing + @param[in] allow_exceptions_ whether parse errors yield exceptions + */ + explicit json_sax_dom_parser(BasicJsonType& r, const bool allow_exceptions_ = true) + : root(r), allow_exceptions(allow_exceptions_) + {} + + // make class move-only + json_sax_dom_parser(const json_sax_dom_parser&) = delete; + json_sax_dom_parser(json_sax_dom_parser&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + json_sax_dom_parser& operator=(const json_sax_dom_parser&) = delete; + json_sax_dom_parser& operator=(json_sax_dom_parser&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + ~json_sax_dom_parser() = default; + + bool null() + { + handle_value(nullptr); + return true; + } + + bool boolean(bool val) + { + handle_value(val); + return true; + } + + bool number_integer(number_integer_t val) + { + handle_value(val); + return true; + } + + bool number_unsigned(number_unsigned_t val) + { + handle_value(val); + return true; + } + + bool number_float(number_float_t val, const string_t& /*unused*/) + { + handle_value(val); + return true; + } + + bool string(string_t& val) + { + handle_value(val); + return true; + } + + bool binary(binary_t& val) + { + handle_value(std::move(val)); + return true; + } + + bool start_object(std::size_t len) + { + ref_stack.push_back(handle_value(BasicJsonType::value_t::object)); + + if (JSON_HEDLEY_UNLIKELY(len != static_cast(-1) && len > ref_stack.back()->max_size())) + { + JSON_THROW(out_of_range::create(408, "excessive object size: " + std::to_string(len), *ref_stack.back())); + } + + return true; + } + + bool key(string_t& val) + { + // add null at given key and store the reference for later + object_element = &(ref_stack.back()->m_value.object->operator[](val)); + return true; + } + + bool end_object() + { + ref_stack.back()->set_parents(); + ref_stack.pop_back(); + return true; + } + + bool start_array(std::size_t len) + { + ref_stack.push_back(handle_value(BasicJsonType::value_t::array)); + + if (JSON_HEDLEY_UNLIKELY(len != static_cast(-1) && len > ref_stack.back()->max_size())) + { + JSON_THROW(out_of_range::create(408, "excessive array size: " + std::to_string(len), *ref_stack.back())); + } + + return true; + } + + bool end_array() + { + ref_stack.back()->set_parents(); + ref_stack.pop_back(); + return true; + } + + template + bool parse_error(std::size_t /*unused*/, const std::string& /*unused*/, + const Exception& ex) + { + errored = true; + static_cast(ex); + if (allow_exceptions) + { + JSON_THROW(ex); + } + return false; + } + + constexpr bool is_errored() const + { + return errored; + } + + private: + /*! + @invariant If the ref stack is empty, then the passed value will be the new + root. + @invariant If the ref stack contains a value, then it is an array or an + object to which we can add elements + */ + template + JSON_HEDLEY_RETURNS_NON_NULL + BasicJsonType* handle_value(Value&& v) + { + if (ref_stack.empty()) + { + root = BasicJsonType(std::forward(v)); + return &root; + } + + JSON_ASSERT(ref_stack.back()->is_array() || ref_stack.back()->is_object()); + + if (ref_stack.back()->is_array()) + { + ref_stack.back()->m_value.array->emplace_back(std::forward(v)); + return &(ref_stack.back()->m_value.array->back()); + } + + JSON_ASSERT(ref_stack.back()->is_object()); + JSON_ASSERT(object_element); + *object_element = BasicJsonType(std::forward(v)); + return object_element; + } + + /// the parsed JSON value + BasicJsonType& root; + /// stack to model hierarchy of values + std::vector ref_stack {}; + /// helper to hold the reference for the next object element + BasicJsonType* object_element = nullptr; + /// whether a syntax error occurred + bool errored = false; + /// whether to throw exceptions in case of errors + const bool allow_exceptions = true; +}; + +template +class json_sax_dom_callback_parser +{ + public: + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + using parser_callback_t = typename BasicJsonType::parser_callback_t; + using parse_event_t = typename BasicJsonType::parse_event_t; + + json_sax_dom_callback_parser(BasicJsonType& r, + const parser_callback_t cb, + const bool allow_exceptions_ = true) + : root(r), callback(cb), allow_exceptions(allow_exceptions_) + { + keep_stack.push_back(true); + } + + // make class move-only + json_sax_dom_callback_parser(const json_sax_dom_callback_parser&) = delete; + json_sax_dom_callback_parser(json_sax_dom_callback_parser&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + json_sax_dom_callback_parser& operator=(const json_sax_dom_callback_parser&) = delete; + json_sax_dom_callback_parser& operator=(json_sax_dom_callback_parser&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + ~json_sax_dom_callback_parser() = default; + + bool null() + { + handle_value(nullptr); + return true; + } + + bool boolean(bool val) + { + handle_value(val); + return true; + } + + bool number_integer(number_integer_t val) + { + handle_value(val); + return true; + } + + bool number_unsigned(number_unsigned_t val) + { + handle_value(val); + return true; + } + + bool number_float(number_float_t val, const string_t& /*unused*/) + { + handle_value(val); + return true; + } + + bool string(string_t& val) + { + handle_value(val); + return true; + } + + bool binary(binary_t& val) + { + handle_value(std::move(val)); + return true; + } + + bool start_object(std::size_t len) + { + // check callback for object start + const bool keep = callback(static_cast(ref_stack.size()), parse_event_t::object_start, discarded); + keep_stack.push_back(keep); + + auto val = handle_value(BasicJsonType::value_t::object, true); + ref_stack.push_back(val.second); + + // check object limit + if (ref_stack.back() && JSON_HEDLEY_UNLIKELY(len != static_cast(-1) && len > ref_stack.back()->max_size())) + { + JSON_THROW(out_of_range::create(408, "excessive object size: " + std::to_string(len), *ref_stack.back())); + } + + return true; + } + + bool key(string_t& val) + { + BasicJsonType k = BasicJsonType(val); + + // check callback for key + const bool keep = callback(static_cast(ref_stack.size()), parse_event_t::key, k); + key_keep_stack.push_back(keep); + + // add discarded value at given key and store the reference for later + if (keep && ref_stack.back()) + { + object_element = &(ref_stack.back()->m_value.object->operator[](val) = discarded); + } + + return true; + } + + bool end_object() + { + if (ref_stack.back()) + { + if (!callback(static_cast(ref_stack.size()) - 1, parse_event_t::object_end, *ref_stack.back())) + { + // discard object + *ref_stack.back() = discarded; + } + else + { + ref_stack.back()->set_parents(); + } + } + + JSON_ASSERT(!ref_stack.empty()); + JSON_ASSERT(!keep_stack.empty()); + ref_stack.pop_back(); + keep_stack.pop_back(); + + if (!ref_stack.empty() && ref_stack.back() && ref_stack.back()->is_structured()) + { + // remove discarded value + for (auto it = ref_stack.back()->begin(); it != ref_stack.back()->end(); ++it) + { + if (it->is_discarded()) + { + ref_stack.back()->erase(it); + break; + } + } + } + + return true; + } + + bool start_array(std::size_t len) + { + const bool keep = callback(static_cast(ref_stack.size()), parse_event_t::array_start, discarded); + keep_stack.push_back(keep); + + auto val = handle_value(BasicJsonType::value_t::array, true); + ref_stack.push_back(val.second); + + // check array limit + if (ref_stack.back() && JSON_HEDLEY_UNLIKELY(len != static_cast(-1) && len > ref_stack.back()->max_size())) + { + JSON_THROW(out_of_range::create(408, "excessive array size: " + std::to_string(len), *ref_stack.back())); + } + + return true; + } + + bool end_array() + { + bool keep = true; + + if (ref_stack.back()) + { + keep = callback(static_cast(ref_stack.size()) - 1, parse_event_t::array_end, *ref_stack.back()); + if (keep) + { + ref_stack.back()->set_parents(); + } + else + { + // discard array + *ref_stack.back() = discarded; + } + } + + JSON_ASSERT(!ref_stack.empty()); + JSON_ASSERT(!keep_stack.empty()); + ref_stack.pop_back(); + keep_stack.pop_back(); + + // remove discarded value + if (!keep && !ref_stack.empty() && ref_stack.back()->is_array()) + { + ref_stack.back()->m_value.array->pop_back(); + } + + return true; + } + + template + bool parse_error(std::size_t /*unused*/, const std::string& /*unused*/, + const Exception& ex) + { + errored = true; + static_cast(ex); + if (allow_exceptions) + { + JSON_THROW(ex); + } + return false; + } + + constexpr bool is_errored() const + { + return errored; + } + + private: + /*! + @param[in] v value to add to the JSON value we build during parsing + @param[in] skip_callback whether we should skip calling the callback + function; this is required after start_array() and + start_object() SAX events, because otherwise we would call the + callback function with an empty array or object, respectively. + + @invariant If the ref stack is empty, then the passed value will be the new + root. + @invariant If the ref stack contains a value, then it is an array or an + object to which we can add elements + + @return pair of boolean (whether value should be kept) and pointer (to the + passed value in the ref_stack hierarchy; nullptr if not kept) + */ + template + std::pair handle_value(Value&& v, const bool skip_callback = false) + { + JSON_ASSERT(!keep_stack.empty()); + + // do not handle this value if we know it would be added to a discarded + // container + if (!keep_stack.back()) + { + return {false, nullptr}; + } + + // create value + auto value = BasicJsonType(std::forward(v)); + + // check callback + const bool keep = skip_callback || callback(static_cast(ref_stack.size()), parse_event_t::value, value); + + // do not handle this value if we just learnt it shall be discarded + if (!keep) + { + return {false, nullptr}; + } + + if (ref_stack.empty()) + { + root = std::move(value); + return {true, &root}; + } + + // skip this value if we already decided to skip the parent + // (https://github.com/nlohmann/json/issues/971#issuecomment-413678360) + if (!ref_stack.back()) + { + return {false, nullptr}; + } + + // we now only expect arrays and objects + JSON_ASSERT(ref_stack.back()->is_array() || ref_stack.back()->is_object()); + + // array + if (ref_stack.back()->is_array()) + { + ref_stack.back()->m_value.array->emplace_back(std::move(value)); + return {true, &(ref_stack.back()->m_value.array->back())}; + } + + // object + JSON_ASSERT(ref_stack.back()->is_object()); + // check if we should store an element for the current key + JSON_ASSERT(!key_keep_stack.empty()); + const bool store_element = key_keep_stack.back(); + key_keep_stack.pop_back(); + + if (!store_element) + { + return {false, nullptr}; + } + + JSON_ASSERT(object_element); + *object_element = std::move(value); + return {true, object_element}; + } + + /// the parsed JSON value + BasicJsonType& root; + /// stack to model hierarchy of values + std::vector ref_stack {}; + /// stack to manage which values to keep + std::vector keep_stack {}; + /// stack to manage which object keys to keep + std::vector key_keep_stack {}; + /// helper to hold the reference for the next object element + BasicJsonType* object_element = nullptr; + /// whether a syntax error occurred + bool errored = false; + /// callback function + const parser_callback_t callback = nullptr; + /// whether to throw exceptions in case of errors + const bool allow_exceptions = true; + /// a discarded value for the callback + BasicJsonType discarded = BasicJsonType::value_t::discarded; +}; + +template +class json_sax_acceptor +{ + public: + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + + bool null() + { + return true; + } + + bool boolean(bool /*unused*/) + { + return true; + } + + bool number_integer(number_integer_t /*unused*/) + { + return true; + } + + bool number_unsigned(number_unsigned_t /*unused*/) + { + return true; + } + + bool number_float(number_float_t /*unused*/, const string_t& /*unused*/) + { + return true; + } + + bool string(string_t& /*unused*/) + { + return true; + } + + bool binary(binary_t& /*unused*/) + { + return true; + } + + bool start_object(std::size_t /*unused*/ = static_cast(-1)) + { + return true; + } + + bool key(string_t& /*unused*/) + { + return true; + } + + bool end_object() + { + return true; + } + + bool start_array(std::size_t /*unused*/ = static_cast(-1)) + { + return true; + } + + bool end_array() + { + return true; + } + + bool parse_error(std::size_t /*unused*/, const std::string& /*unused*/, const detail::exception& /*unused*/) + { + return false; + } +}; +} // namespace detail + +} // namespace nlohmann + +// #include + + +#include // array +#include // localeconv +#include // size_t +#include // snprintf +#include // strtof, strtod, strtold, strtoll, strtoull +#include // initializer_list +#include // char_traits, string +#include // move +#include // vector + +// #include + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +/////////// +// lexer // +/////////// + +template +class lexer_base +{ + public: + /// token types for the parser + enum class token_type + { + uninitialized, ///< indicating the scanner is uninitialized + literal_true, ///< the `true` literal + literal_false, ///< the `false` literal + literal_null, ///< the `null` literal + value_string, ///< a string -- use get_string() for actual value + value_unsigned, ///< an unsigned integer -- use get_number_unsigned() for actual value + value_integer, ///< a signed integer -- use get_number_integer() for actual value + value_float, ///< an floating point number -- use get_number_float() for actual value + begin_array, ///< the character for array begin `[` + begin_object, ///< the character for object begin `{` + end_array, ///< the character for array end `]` + end_object, ///< the character for object end `}` + name_separator, ///< the name separator `:` + value_separator, ///< the value separator `,` + parse_error, ///< indicating a parse error + end_of_input, ///< indicating the end of the input buffer + literal_or_value ///< a literal or the begin of a value (only for diagnostics) + }; + + /// return name of values of type token_type (only used for errors) + JSON_HEDLEY_RETURNS_NON_NULL + JSON_HEDLEY_CONST + static const char* token_type_name(const token_type t) noexcept + { + switch (t) + { + case token_type::uninitialized: + return ""; + case token_type::literal_true: + return "true literal"; + case token_type::literal_false: + return "false literal"; + case token_type::literal_null: + return "null literal"; + case token_type::value_string: + return "string literal"; + case token_type::value_unsigned: + case token_type::value_integer: + case token_type::value_float: + return "number literal"; + case token_type::begin_array: + return "'['"; + case token_type::begin_object: + return "'{'"; + case token_type::end_array: + return "']'"; + case token_type::end_object: + return "'}'"; + case token_type::name_separator: + return "':'"; + case token_type::value_separator: + return "','"; + case token_type::parse_error: + return ""; + case token_type::end_of_input: + return "end of input"; + case token_type::literal_or_value: + return "'[', '{', or a literal"; + // LCOV_EXCL_START + default: // catch non-enum values + return "unknown token"; + // LCOV_EXCL_STOP + } + } +}; +/*! +@brief lexical analysis + +This class organizes the lexical analysis during JSON deserialization. +*/ +template +class lexer : public lexer_base +{ + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using char_type = typename InputAdapterType::char_type; + using char_int_type = typename std::char_traits::int_type; + + public: + using token_type = typename lexer_base::token_type; + + explicit lexer(InputAdapterType&& adapter, bool ignore_comments_ = false) noexcept + : ia(std::move(adapter)) + , ignore_comments(ignore_comments_) + , decimal_point_char(static_cast(get_decimal_point())) + {} + + // delete because of pointer members + lexer(const lexer&) = delete; + lexer(lexer&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + lexer& operator=(lexer&) = delete; + lexer& operator=(lexer&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + ~lexer() = default; + + private: + ///////////////////// + // locales + ///////////////////// + + /// return the locale-dependent decimal point + JSON_HEDLEY_PURE + static char get_decimal_point() noexcept + { + const auto* loc = localeconv(); + JSON_ASSERT(loc != nullptr); + return (loc->decimal_point == nullptr) ? '.' : *(loc->decimal_point); + } + + ///////////////////// + // scan functions + ///////////////////// + + /*! + @brief get codepoint from 4 hex characters following `\u` + + For input "\u c1 c2 c3 c4" the codepoint is: + (c1 * 0x1000) + (c2 * 0x0100) + (c3 * 0x0010) + c4 + = (c1 << 12) + (c2 << 8) + (c3 << 4) + (c4 << 0) + + Furthermore, the possible characters '0'..'9', 'A'..'F', and 'a'..'f' + must be converted to the integers 0x0..0x9, 0xA..0xF, 0xA..0xF, resp. The + conversion is done by subtracting the offset (0x30, 0x37, and 0x57) + between the ASCII value of the character and the desired integer value. + + @return codepoint (0x0000..0xFFFF) or -1 in case of an error (e.g. EOF or + non-hex character) + */ + int get_codepoint() + { + // this function only makes sense after reading `\u` + JSON_ASSERT(current == 'u'); + int codepoint = 0; + + const auto factors = { 12u, 8u, 4u, 0u }; + for (const auto factor : factors) + { + get(); + + if (current >= '0' && current <= '9') + { + codepoint += static_cast((static_cast(current) - 0x30u) << factor); + } + else if (current >= 'A' && current <= 'F') + { + codepoint += static_cast((static_cast(current) - 0x37u) << factor); + } + else if (current >= 'a' && current <= 'f') + { + codepoint += static_cast((static_cast(current) - 0x57u) << factor); + } + else + { + return -1; + } + } + + JSON_ASSERT(0x0000 <= codepoint && codepoint <= 0xFFFF); + return codepoint; + } + + /*! + @brief check if the next byte(s) are inside a given range + + Adds the current byte and, for each passed range, reads a new byte and + checks if it is inside the range. If a violation was detected, set up an + error message and return false. Otherwise, return true. + + @param[in] ranges list of integers; interpreted as list of pairs of + inclusive lower and upper bound, respectively + + @pre The passed list @a ranges must have 2, 4, or 6 elements; that is, + 1, 2, or 3 pairs. This precondition is enforced by an assertion. + + @return true if and only if no range violation was detected + */ + bool next_byte_in_range(std::initializer_list ranges) + { + JSON_ASSERT(ranges.size() == 2 || ranges.size() == 4 || ranges.size() == 6); + add(current); + + for (auto range = ranges.begin(); range != ranges.end(); ++range) + { + get(); + if (JSON_HEDLEY_LIKELY(*range <= current && current <= *(++range))) + { + add(current); + } + else + { + error_message = "invalid string: ill-formed UTF-8 byte"; + return false; + } + } + + return true; + } + + /*! + @brief scan a string literal + + This function scans a string according to Sect. 7 of RFC 8259. While + scanning, bytes are escaped and copied into buffer token_buffer. Then the + function returns successfully, token_buffer is *not* null-terminated (as it + may contain \0 bytes), and token_buffer.size() is the number of bytes in the + string. + + @return token_type::value_string if string could be successfully scanned, + token_type::parse_error otherwise + + @note In case of errors, variable error_message contains a textual + description. + */ + token_type scan_string() + { + // reset token_buffer (ignore opening quote) + reset(); + + // we entered the function by reading an open quote + JSON_ASSERT(current == '\"'); + + while (true) + { + // get next character + switch (get()) + { + // end of file while parsing string + case std::char_traits::eof(): + { + error_message = "invalid string: missing closing quote"; + return token_type::parse_error; + } + + // closing quote + case '\"': + { + return token_type::value_string; + } + + // escapes + case '\\': + { + switch (get()) + { + // quotation mark + case '\"': + add('\"'); + break; + // reverse solidus + case '\\': + add('\\'); + break; + // solidus + case '/': + add('/'); + break; + // backspace + case 'b': + add('\b'); + break; + // form feed + case 'f': + add('\f'); + break; + // line feed + case 'n': + add('\n'); + break; + // carriage return + case 'r': + add('\r'); + break; + // tab + case 't': + add('\t'); + break; + + // unicode escapes + case 'u': + { + const int codepoint1 = get_codepoint(); + int codepoint = codepoint1; // start with codepoint1 + + if (JSON_HEDLEY_UNLIKELY(codepoint1 == -1)) + { + error_message = "invalid string: '\\u' must be followed by 4 hex digits"; + return token_type::parse_error; + } + + // check if code point is a high surrogate + if (0xD800 <= codepoint1 && codepoint1 <= 0xDBFF) + { + // expect next \uxxxx entry + if (JSON_HEDLEY_LIKELY(get() == '\\' && get() == 'u')) + { + const int codepoint2 = get_codepoint(); + + if (JSON_HEDLEY_UNLIKELY(codepoint2 == -1)) + { + error_message = "invalid string: '\\u' must be followed by 4 hex digits"; + return token_type::parse_error; + } + + // check if codepoint2 is a low surrogate + if (JSON_HEDLEY_LIKELY(0xDC00 <= codepoint2 && codepoint2 <= 0xDFFF)) + { + // overwrite codepoint + codepoint = static_cast( + // high surrogate occupies the most significant 22 bits + (static_cast(codepoint1) << 10u) + // low surrogate occupies the least significant 15 bits + + static_cast(codepoint2) + // there is still the 0xD800, 0xDC00 and 0x10000 noise + // in the result, so we have to subtract with: + // (0xD800 << 10) + DC00 - 0x10000 = 0x35FDC00 + - 0x35FDC00u); + } + else + { + error_message = "invalid string: surrogate U+D800..U+DBFF must be followed by U+DC00..U+DFFF"; + return token_type::parse_error; + } + } + else + { + error_message = "invalid string: surrogate U+D800..U+DBFF must be followed by U+DC00..U+DFFF"; + return token_type::parse_error; + } + } + else + { + if (JSON_HEDLEY_UNLIKELY(0xDC00 <= codepoint1 && codepoint1 <= 0xDFFF)) + { + error_message = "invalid string: surrogate U+DC00..U+DFFF must follow U+D800..U+DBFF"; + return token_type::parse_error; + } + } + + // result of the above calculation yields a proper codepoint + JSON_ASSERT(0x00 <= codepoint && codepoint <= 0x10FFFF); + + // translate codepoint into bytes + if (codepoint < 0x80) + { + // 1-byte characters: 0xxxxxxx (ASCII) + add(static_cast(codepoint)); + } + else if (codepoint <= 0x7FF) + { + // 2-byte characters: 110xxxxx 10xxxxxx + add(static_cast(0xC0u | (static_cast(codepoint) >> 6u))); + add(static_cast(0x80u | (static_cast(codepoint) & 0x3Fu))); + } + else if (codepoint <= 0xFFFF) + { + // 3-byte characters: 1110xxxx 10xxxxxx 10xxxxxx + add(static_cast(0xE0u | (static_cast(codepoint) >> 12u))); + add(static_cast(0x80u | ((static_cast(codepoint) >> 6u) & 0x3Fu))); + add(static_cast(0x80u | (static_cast(codepoint) & 0x3Fu))); + } + else + { + // 4-byte characters: 11110xxx 10xxxxxx 10xxxxxx 10xxxxxx + add(static_cast(0xF0u | (static_cast(codepoint) >> 18u))); + add(static_cast(0x80u | ((static_cast(codepoint) >> 12u) & 0x3Fu))); + add(static_cast(0x80u | ((static_cast(codepoint) >> 6u) & 0x3Fu))); + add(static_cast(0x80u | (static_cast(codepoint) & 0x3Fu))); + } + + break; + } + + // other characters after escape + default: + error_message = "invalid string: forbidden character after backslash"; + return token_type::parse_error; + } + + break; + } + + // invalid control characters + case 0x00: + { + error_message = "invalid string: control character U+0000 (NUL) must be escaped to \\u0000"; + return token_type::parse_error; + } + + case 0x01: + { + error_message = "invalid string: control character U+0001 (SOH) must be escaped to \\u0001"; + return token_type::parse_error; + } + + case 0x02: + { + error_message = "invalid string: control character U+0002 (STX) must be escaped to \\u0002"; + return token_type::parse_error; + } + + case 0x03: + { + error_message = "invalid string: control character U+0003 (ETX) must be escaped to \\u0003"; + return token_type::parse_error; + } + + case 0x04: + { + error_message = "invalid string: control character U+0004 (EOT) must be escaped to \\u0004"; + return token_type::parse_error; + } + + case 0x05: + { + error_message = "invalid string: control character U+0005 (ENQ) must be escaped to \\u0005"; + return token_type::parse_error; + } + + case 0x06: + { + error_message = "invalid string: control character U+0006 (ACK) must be escaped to \\u0006"; + return token_type::parse_error; + } + + case 0x07: + { + error_message = "invalid string: control character U+0007 (BEL) must be escaped to \\u0007"; + return token_type::parse_error; + } + + case 0x08: + { + error_message = "invalid string: control character U+0008 (BS) must be escaped to \\u0008 or \\b"; + return token_type::parse_error; + } + + case 0x09: + { + error_message = "invalid string: control character U+0009 (HT) must be escaped to \\u0009 or \\t"; + return token_type::parse_error; + } + + case 0x0A: + { + error_message = "invalid string: control character U+000A (LF) must be escaped to \\u000A or \\n"; + return token_type::parse_error; + } + + case 0x0B: + { + error_message = "invalid string: control character U+000B (VT) must be escaped to \\u000B"; + return token_type::parse_error; + } + + case 0x0C: + { + error_message = "invalid string: control character U+000C (FF) must be escaped to \\u000C or \\f"; + return token_type::parse_error; + } + + case 0x0D: + { + error_message = "invalid string: control character U+000D (CR) must be escaped to \\u000D or \\r"; + return token_type::parse_error; + } + + case 0x0E: + { + error_message = "invalid string: control character U+000E (SO) must be escaped to \\u000E"; + return token_type::parse_error; + } + + case 0x0F: + { + error_message = "invalid string: control character U+000F (SI) must be escaped to \\u000F"; + return token_type::parse_error; + } + + case 0x10: + { + error_message = "invalid string: control character U+0010 (DLE) must be escaped to \\u0010"; + return token_type::parse_error; + } + + case 0x11: + { + error_message = "invalid string: control character U+0011 (DC1) must be escaped to \\u0011"; + return token_type::parse_error; + } + + case 0x12: + { + error_message = "invalid string: control character U+0012 (DC2) must be escaped to \\u0012"; + return token_type::parse_error; + } + + case 0x13: + { + error_message = "invalid string: control character U+0013 (DC3) must be escaped to \\u0013"; + return token_type::parse_error; + } + + case 0x14: + { + error_message = "invalid string: control character U+0014 (DC4) must be escaped to \\u0014"; + return token_type::parse_error; + } + + case 0x15: + { + error_message = "invalid string: control character U+0015 (NAK) must be escaped to \\u0015"; + return token_type::parse_error; + } + + case 0x16: + { + error_message = "invalid string: control character U+0016 (SYN) must be escaped to \\u0016"; + return token_type::parse_error; + } + + case 0x17: + { + error_message = "invalid string: control character U+0017 (ETB) must be escaped to \\u0017"; + return token_type::parse_error; + } + + case 0x18: + { + error_message = "invalid string: control character U+0018 (CAN) must be escaped to \\u0018"; + return token_type::parse_error; + } + + case 0x19: + { + error_message = "invalid string: control character U+0019 (EM) must be escaped to \\u0019"; + return token_type::parse_error; + } + + case 0x1A: + { + error_message = "invalid string: control character U+001A (SUB) must be escaped to \\u001A"; + return token_type::parse_error; + } + + case 0x1B: + { + error_message = "invalid string: control character U+001B (ESC) must be escaped to \\u001B"; + return token_type::parse_error; + } + + case 0x1C: + { + error_message = "invalid string: control character U+001C (FS) must be escaped to \\u001C"; + return token_type::parse_error; + } + + case 0x1D: + { + error_message = "invalid string: control character U+001D (GS) must be escaped to \\u001D"; + return token_type::parse_error; + } + + case 0x1E: + { + error_message = "invalid string: control character U+001E (RS) must be escaped to \\u001E"; + return token_type::parse_error; + } + + case 0x1F: + { + error_message = "invalid string: control character U+001F (US) must be escaped to \\u001F"; + return token_type::parse_error; + } + + // U+0020..U+007F (except U+0022 (quote) and U+005C (backspace)) + case 0x20: + case 0x21: + case 0x23: + case 0x24: + case 0x25: + case 0x26: + case 0x27: + case 0x28: + case 0x29: + case 0x2A: + case 0x2B: + case 0x2C: + case 0x2D: + case 0x2E: + case 0x2F: + case 0x30: + case 0x31: + case 0x32: + case 0x33: + case 0x34: + case 0x35: + case 0x36: + case 0x37: + case 0x38: + case 0x39: + case 0x3A: + case 0x3B: + case 0x3C: + case 0x3D: + case 0x3E: + case 0x3F: + case 0x40: + case 0x41: + case 0x42: + case 0x43: + case 0x44: + case 0x45: + case 0x46: + case 0x47: + case 0x48: + case 0x49: + case 0x4A: + case 0x4B: + case 0x4C: + case 0x4D: + case 0x4E: + case 0x4F: + case 0x50: + case 0x51: + case 0x52: + case 0x53: + case 0x54: + case 0x55: + case 0x56: + case 0x57: + case 0x58: + case 0x59: + case 0x5A: + case 0x5B: + case 0x5D: + case 0x5E: + case 0x5F: + case 0x60: + case 0x61: + case 0x62: + case 0x63: + case 0x64: + case 0x65: + case 0x66: + case 0x67: + case 0x68: + case 0x69: + case 0x6A: + case 0x6B: + case 0x6C: + case 0x6D: + case 0x6E: + case 0x6F: + case 0x70: + case 0x71: + case 0x72: + case 0x73: + case 0x74: + case 0x75: + case 0x76: + case 0x77: + case 0x78: + case 0x79: + case 0x7A: + case 0x7B: + case 0x7C: + case 0x7D: + case 0x7E: + case 0x7F: + { + add(current); + break; + } + + // U+0080..U+07FF: bytes C2..DF 80..BF + case 0xC2: + case 0xC3: + case 0xC4: + case 0xC5: + case 0xC6: + case 0xC7: + case 0xC8: + case 0xC9: + case 0xCA: + case 0xCB: + case 0xCC: + case 0xCD: + case 0xCE: + case 0xCF: + case 0xD0: + case 0xD1: + case 0xD2: + case 0xD3: + case 0xD4: + case 0xD5: + case 0xD6: + case 0xD7: + case 0xD8: + case 0xD9: + case 0xDA: + case 0xDB: + case 0xDC: + case 0xDD: + case 0xDE: + case 0xDF: + { + if (JSON_HEDLEY_UNLIKELY(!next_byte_in_range({0x80, 0xBF}))) + { + return token_type::parse_error; + } + break; + } + + // U+0800..U+0FFF: bytes E0 A0..BF 80..BF + case 0xE0: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0xA0, 0xBF, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // U+1000..U+CFFF: bytes E1..EC 80..BF 80..BF + // U+E000..U+FFFF: bytes EE..EF 80..BF 80..BF + case 0xE1: + case 0xE2: + case 0xE3: + case 0xE4: + case 0xE5: + case 0xE6: + case 0xE7: + case 0xE8: + case 0xE9: + case 0xEA: + case 0xEB: + case 0xEC: + case 0xEE: + case 0xEF: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0x80, 0xBF, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // U+D000..U+D7FF: bytes ED 80..9F 80..BF + case 0xED: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0x80, 0x9F, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // U+10000..U+3FFFF F0 90..BF 80..BF 80..BF + case 0xF0: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0x90, 0xBF, 0x80, 0xBF, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // U+40000..U+FFFFF F1..F3 80..BF 80..BF 80..BF + case 0xF1: + case 0xF2: + case 0xF3: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0x80, 0xBF, 0x80, 0xBF, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // U+100000..U+10FFFF F4 80..8F 80..BF 80..BF + case 0xF4: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0x80, 0x8F, 0x80, 0xBF, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // remaining bytes (80..C1 and F5..FF) are ill-formed + default: + { + error_message = "invalid string: ill-formed UTF-8 byte"; + return token_type::parse_error; + } + } + } + } + + /*! + * @brief scan a comment + * @return whether comment could be scanned successfully + */ + bool scan_comment() + { + switch (get()) + { + // single-line comments skip input until a newline or EOF is read + case '/': + { + while (true) + { + switch (get()) + { + case '\n': + case '\r': + case std::char_traits::eof(): + case '\0': + return true; + + default: + break; + } + } + } + + // multi-line comments skip input until */ is read + case '*': + { + while (true) + { + switch (get()) + { + case std::char_traits::eof(): + case '\0': + { + error_message = "invalid comment; missing closing '*/'"; + return false; + } + + case '*': + { + switch (get()) + { + case '/': + return true; + + default: + { + unget(); + continue; + } + } + } + + default: + continue; + } + } + } + + // unexpected character after reading '/' + default: + { + error_message = "invalid comment; expecting '/' or '*' after '/'"; + return false; + } + } + } + + JSON_HEDLEY_NON_NULL(2) + static void strtof(float& f, const char* str, char** endptr) noexcept + { + f = std::strtof(str, endptr); + } + + JSON_HEDLEY_NON_NULL(2) + static void strtof(double& f, const char* str, char** endptr) noexcept + { + f = std::strtod(str, endptr); + } + + JSON_HEDLEY_NON_NULL(2) + static void strtof(long double& f, const char* str, char** endptr) noexcept + { + f = std::strtold(str, endptr); + } + + /*! + @brief scan a number literal + + This function scans a string according to Sect. 6 of RFC 8259. + + The function is realized with a deterministic finite state machine derived + from the grammar described in RFC 8259. Starting in state "init", the + input is read and used to determined the next state. Only state "done" + accepts the number. State "error" is a trap state to model errors. In the + table below, "anything" means any character but the ones listed before. + + state | 0 | 1-9 | e E | + | - | . | anything + ---------|----------|----------|----------|---------|---------|----------|----------- + init | zero | any1 | [error] | [error] | minus | [error] | [error] + minus | zero | any1 | [error] | [error] | [error] | [error] | [error] + zero | done | done | exponent | done | done | decimal1 | done + any1 | any1 | any1 | exponent | done | done | decimal1 | done + decimal1 | decimal2 | decimal2 | [error] | [error] | [error] | [error] | [error] + decimal2 | decimal2 | decimal2 | exponent | done | done | done | done + exponent | any2 | any2 | [error] | sign | sign | [error] | [error] + sign | any2 | any2 | [error] | [error] | [error] | [error] | [error] + any2 | any2 | any2 | done | done | done | done | done + + The state machine is realized with one label per state (prefixed with + "scan_number_") and `goto` statements between them. The state machine + contains cycles, but any cycle can be left when EOF is read. Therefore, + the function is guaranteed to terminate. + + During scanning, the read bytes are stored in token_buffer. This string is + then converted to a signed integer, an unsigned integer, or a + floating-point number. + + @return token_type::value_unsigned, token_type::value_integer, or + token_type::value_float if number could be successfully scanned, + token_type::parse_error otherwise + + @note The scanner is independent of the current locale. Internally, the + locale's decimal point is used instead of `.` to work with the + locale-dependent converters. + */ + token_type scan_number() // lgtm [cpp/use-of-goto] + { + // reset token_buffer to store the number's bytes + reset(); + + // the type of the parsed number; initially set to unsigned; will be + // changed if minus sign, decimal point or exponent is read + token_type number_type = token_type::value_unsigned; + + // state (init): we just found out we need to scan a number + switch (current) + { + case '-': + { + add(current); + goto scan_number_minus; + } + + case '0': + { + add(current); + goto scan_number_zero; + } + + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any1; + } + + // all other characters are rejected outside scan_number() + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + +scan_number_minus: + // state: we just parsed a leading minus sign + number_type = token_type::value_integer; + switch (get()) + { + case '0': + { + add(current); + goto scan_number_zero; + } + + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any1; + } + + default: + { + error_message = "invalid number; expected digit after '-'"; + return token_type::parse_error; + } + } + +scan_number_zero: + // state: we just parse a zero (maybe with a leading minus sign) + switch (get()) + { + case '.': + { + add(decimal_point_char); + goto scan_number_decimal1; + } + + case 'e': + case 'E': + { + add(current); + goto scan_number_exponent; + } + + default: + goto scan_number_done; + } + +scan_number_any1: + // state: we just parsed a number 0-9 (maybe with a leading minus sign) + switch (get()) + { + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any1; + } + + case '.': + { + add(decimal_point_char); + goto scan_number_decimal1; + } + + case 'e': + case 'E': + { + add(current); + goto scan_number_exponent; + } + + default: + goto scan_number_done; + } + +scan_number_decimal1: + // state: we just parsed a decimal point + number_type = token_type::value_float; + switch (get()) + { + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_decimal2; + } + + default: + { + error_message = "invalid number; expected digit after '.'"; + return token_type::parse_error; + } + } + +scan_number_decimal2: + // we just parsed at least one number after a decimal point + switch (get()) + { + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_decimal2; + } + + case 'e': + case 'E': + { + add(current); + goto scan_number_exponent; + } + + default: + goto scan_number_done; + } + +scan_number_exponent: + // we just parsed an exponent + number_type = token_type::value_float; + switch (get()) + { + case '+': + case '-': + { + add(current); + goto scan_number_sign; + } + + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any2; + } + + default: + { + error_message = + "invalid number; expected '+', '-', or digit after exponent"; + return token_type::parse_error; + } + } + +scan_number_sign: + // we just parsed an exponent sign + switch (get()) + { + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any2; + } + + default: + { + error_message = "invalid number; expected digit after exponent sign"; + return token_type::parse_error; + } + } + +scan_number_any2: + // we just parsed a number after the exponent or exponent sign + switch (get()) + { + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any2; + } + + default: + goto scan_number_done; + } + +scan_number_done: + // unget the character after the number (we only read it to know that + // we are done scanning a number) + unget(); + + char* endptr = nullptr; // NOLINT(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + errno = 0; + + // try to parse integers first and fall back to floats + if (number_type == token_type::value_unsigned) + { + const auto x = std::strtoull(token_buffer.data(), &endptr, 10); + + // we checked the number format before + JSON_ASSERT(endptr == token_buffer.data() + token_buffer.size()); + + if (errno == 0) + { + value_unsigned = static_cast(x); + if (value_unsigned == x) + { + return token_type::value_unsigned; + } + } + } + else if (number_type == token_type::value_integer) + { + const auto x = std::strtoll(token_buffer.data(), &endptr, 10); + + // we checked the number format before + JSON_ASSERT(endptr == token_buffer.data() + token_buffer.size()); + + if (errno == 0) + { + value_integer = static_cast(x); + if (value_integer == x) + { + return token_type::value_integer; + } + } + } + + // this code is reached if we parse a floating-point number or if an + // integer conversion above failed + strtof(value_float, token_buffer.data(), &endptr); + + // we checked the number format before + JSON_ASSERT(endptr == token_buffer.data() + token_buffer.size()); + + return token_type::value_float; + } + + /*! + @param[in] literal_text the literal text to expect + @param[in] length the length of the passed literal text + @param[in] return_type the token type to return on success + */ + JSON_HEDLEY_NON_NULL(2) + token_type scan_literal(const char_type* literal_text, const std::size_t length, + token_type return_type) + { + JSON_ASSERT(std::char_traits::to_char_type(current) == literal_text[0]); + for (std::size_t i = 1; i < length; ++i) + { + if (JSON_HEDLEY_UNLIKELY(std::char_traits::to_char_type(get()) != literal_text[i])) + { + error_message = "invalid literal"; + return token_type::parse_error; + } + } + return return_type; + } + + ///////////////////// + // input management + ///////////////////// + + /// reset token_buffer; current character is beginning of token + void reset() noexcept + { + token_buffer.clear(); + token_string.clear(); + token_string.push_back(std::char_traits::to_char_type(current)); + } + + /* + @brief get next character from the input + + This function provides the interface to the used input adapter. It does + not throw in case the input reached EOF, but returns a + `std::char_traits::eof()` in that case. Stores the scanned characters + for use in error messages. + + @return character read from the input + */ + char_int_type get() + { + ++position.chars_read_total; + ++position.chars_read_current_line; + + if (next_unget) + { + // just reset the next_unget variable and work with current + next_unget = false; + } + else + { + current = ia.get_character(); + } + + if (JSON_HEDLEY_LIKELY(current != std::char_traits::eof())) + { + token_string.push_back(std::char_traits::to_char_type(current)); + } + + if (current == '\n') + { + ++position.lines_read; + position.chars_read_current_line = 0; + } + + return current; + } + + /*! + @brief unget current character (read it again on next get) + + We implement unget by setting variable next_unget to true. The input is not + changed - we just simulate ungetting by modifying chars_read_total, + chars_read_current_line, and token_string. The next call to get() will + behave as if the unget character is read again. + */ + void unget() + { + next_unget = true; + + --position.chars_read_total; + + // in case we "unget" a newline, we have to also decrement the lines_read + if (position.chars_read_current_line == 0) + { + if (position.lines_read > 0) + { + --position.lines_read; + } + } + else + { + --position.chars_read_current_line; + } + + if (JSON_HEDLEY_LIKELY(current != std::char_traits::eof())) + { + JSON_ASSERT(!token_string.empty()); + token_string.pop_back(); + } + } + + /// add a character to token_buffer + void add(char_int_type c) + { + token_buffer.push_back(static_cast(c)); + } + + public: + ///////////////////// + // value getters + ///////////////////// + + /// return integer value + constexpr number_integer_t get_number_integer() const noexcept + { + return value_integer; + } + + /// return unsigned integer value + constexpr number_unsigned_t get_number_unsigned() const noexcept + { + return value_unsigned; + } + + /// return floating-point value + constexpr number_float_t get_number_float() const noexcept + { + return value_float; + } + + /// return current string value (implicitly resets the token; useful only once) + string_t& get_string() + { + return token_buffer; + } + + ///////////////////// + // diagnostics + ///////////////////// + + /// return position of last read token + constexpr position_t get_position() const noexcept + { + return position; + } + + /// return the last read token (for errors only). Will never contain EOF + /// (an arbitrary value that is not a valid char value, often -1), because + /// 255 may legitimately occur. May contain NUL, which should be escaped. + std::string get_token_string() const + { + // escape control characters + std::string result; + for (const auto c : token_string) + { + if (static_cast(c) <= '\x1F') + { + // escape control characters + std::array cs{{}}; + static_cast((std::snprintf)(cs.data(), cs.size(), "", static_cast(c))); // NOLINT(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + result += cs.data(); + } + else + { + // add character as is + result.push_back(static_cast(c)); + } + } + + return result; + } + + /// return syntax error message + JSON_HEDLEY_RETURNS_NON_NULL + constexpr const char* get_error_message() const noexcept + { + return error_message; + } + + ///////////////////// + // actual scanner + ///////////////////// + + /*! + @brief skip the UTF-8 byte order mark + @return true iff there is no BOM or the correct BOM has been skipped + */ + bool skip_bom() + { + if (get() == 0xEF) + { + // check if we completely parse the BOM + return get() == 0xBB && get() == 0xBF; + } + + // the first character is not the beginning of the BOM; unget it to + // process is later + unget(); + return true; + } + + void skip_whitespace() + { + do + { + get(); + } + while (current == ' ' || current == '\t' || current == '\n' || current == '\r'); + } + + token_type scan() + { + // initially, skip the BOM + if (position.chars_read_total == 0 && !skip_bom()) + { + error_message = "invalid BOM; must be 0xEF 0xBB 0xBF if given"; + return token_type::parse_error; + } + + // read next character and ignore whitespace + skip_whitespace(); + + // ignore comments + while (ignore_comments && current == '/') + { + if (!scan_comment()) + { + return token_type::parse_error; + } + + // skip following whitespace + skip_whitespace(); + } + + switch (current) + { + // structural characters + case '[': + return token_type::begin_array; + case ']': + return token_type::end_array; + case '{': + return token_type::begin_object; + case '}': + return token_type::end_object; + case ':': + return token_type::name_separator; + case ',': + return token_type::value_separator; + + // literals + case 't': + { + std::array true_literal = {{static_cast('t'), static_cast('r'), static_cast('u'), static_cast('e')}}; + return scan_literal(true_literal.data(), true_literal.size(), token_type::literal_true); + } + case 'f': + { + std::array false_literal = {{static_cast('f'), static_cast('a'), static_cast('l'), static_cast('s'), static_cast('e')}}; + return scan_literal(false_literal.data(), false_literal.size(), token_type::literal_false); + } + case 'n': + { + std::array null_literal = {{static_cast('n'), static_cast('u'), static_cast('l'), static_cast('l')}}; + return scan_literal(null_literal.data(), null_literal.size(), token_type::literal_null); + } + + // string + case '\"': + return scan_string(); + + // number + case '-': + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + return scan_number(); + + // end of input (the null byte is needed when parsing from + // string literals) + case '\0': + case std::char_traits::eof(): + return token_type::end_of_input; + + // error + default: + error_message = "invalid literal"; + return token_type::parse_error; + } + } + + private: + /// input adapter + InputAdapterType ia; + + /// whether comments should be ignored (true) or signaled as errors (false) + const bool ignore_comments = false; + + /// the current character + char_int_type current = std::char_traits::eof(); + + /// whether the next get() call should just return current + bool next_unget = false; + + /// the start position of the current token + position_t position {}; + + /// raw input token string (for error messages) + std::vector token_string {}; + + /// buffer for variable-length tokens (numbers, strings) + string_t token_buffer {}; + + /// a description of occurred lexer errors + const char* error_message = ""; + + // number values + number_integer_t value_integer = 0; + number_unsigned_t value_unsigned = 0; + number_float_t value_float = 0; + + /// the decimal point + const char_int_type decimal_point_char = '.'; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +#include // size_t +#include // declval +#include // string + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +template +using null_function_t = decltype(std::declval().null()); + +template +using boolean_function_t = + decltype(std::declval().boolean(std::declval())); + +template +using number_integer_function_t = + decltype(std::declval().number_integer(std::declval())); + +template +using number_unsigned_function_t = + decltype(std::declval().number_unsigned(std::declval())); + +template +using number_float_function_t = decltype(std::declval().number_float( + std::declval(), std::declval())); + +template +using string_function_t = + decltype(std::declval().string(std::declval())); + +template +using binary_function_t = + decltype(std::declval().binary(std::declval())); + +template +using start_object_function_t = + decltype(std::declval().start_object(std::declval())); + +template +using key_function_t = + decltype(std::declval().key(std::declval())); + +template +using end_object_function_t = decltype(std::declval().end_object()); + +template +using start_array_function_t = + decltype(std::declval().start_array(std::declval())); + +template +using end_array_function_t = decltype(std::declval().end_array()); + +template +using parse_error_function_t = decltype(std::declval().parse_error( + std::declval(), std::declval(), + std::declval())); + +template +struct is_sax +{ + private: + static_assert(is_basic_json::value, + "BasicJsonType must be of type basic_json<...>"); + + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + using exception_t = typename BasicJsonType::exception; + + public: + static constexpr bool value = + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value; +}; + +template +struct is_sax_static_asserts +{ + private: + static_assert(is_basic_json::value, + "BasicJsonType must be of type basic_json<...>"); + + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + using exception_t = typename BasicJsonType::exception; + + public: + static_assert(is_detected_exact::value, + "Missing/invalid function: bool null()"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool boolean(bool)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool boolean(bool)"); + static_assert( + is_detected_exact::value, + "Missing/invalid function: bool number_integer(number_integer_t)"); + static_assert( + is_detected_exact::value, + "Missing/invalid function: bool number_unsigned(number_unsigned_t)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool number_float(number_float_t, const string_t&)"); + static_assert( + is_detected_exact::value, + "Missing/invalid function: bool string(string_t&)"); + static_assert( + is_detected_exact::value, + "Missing/invalid function: bool binary(binary_t&)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool start_object(std::size_t)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool key(string_t&)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool end_object()"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool start_array(std::size_t)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool end_array()"); + static_assert( + is_detected_exact::value, + "Missing/invalid function: bool parse_error(std::size_t, const " + "std::string&, const exception&)"); +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ + +/// how to treat CBOR tags +enum class cbor_tag_handler_t +{ + error, ///< throw a parse_error exception in case of a tag + ignore, ///< ignore tags + store ///< store tags as binary type +}; + +/*! +@brief determine system byte order + +@return true if and only if system's byte order is little endian + +@note from https://stackoverflow.com/a/1001328/266378 +*/ +static inline bool little_endianness(int num = 1) noexcept +{ + return *reinterpret_cast(&num) == 1; +} + + +/////////////////// +// binary reader // +/////////////////// + +/*! +@brief deserialization of CBOR, MessagePack, and UBJSON values +*/ +template> +class binary_reader +{ + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + using json_sax_t = SAX; + using char_type = typename InputAdapterType::char_type; + using char_int_type = typename std::char_traits::int_type; + + public: + /*! + @brief create a binary reader + + @param[in] adapter input adapter to read from + */ + explicit binary_reader(InputAdapterType&& adapter) noexcept : ia(std::move(adapter)) + { + (void)detail::is_sax_static_asserts {}; + } + + // make class move-only + binary_reader(const binary_reader&) = delete; + binary_reader(binary_reader&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + binary_reader& operator=(const binary_reader&) = delete; + binary_reader& operator=(binary_reader&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + ~binary_reader() = default; + + /*! + @param[in] format the binary format to parse + @param[in] sax_ a SAX event processor + @param[in] strict whether to expect the input to be consumed completed + @param[in] tag_handler how to treat CBOR tags + + @return whether parsing was successful + */ + JSON_HEDLEY_NON_NULL(3) + bool sax_parse(const input_format_t format, + json_sax_t* sax_, + const bool strict = true, + const cbor_tag_handler_t tag_handler = cbor_tag_handler_t::error) + { + sax = sax_; + bool result = false; + + switch (format) + { + case input_format_t::bson: + result = parse_bson_internal(); + break; + + case input_format_t::cbor: + result = parse_cbor_internal(true, tag_handler); + break; + + case input_format_t::msgpack: + result = parse_msgpack_internal(); + break; + + case input_format_t::ubjson: + result = parse_ubjson_internal(); + break; + + case input_format_t::json: // LCOV_EXCL_LINE + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + + // strict mode: next byte must be EOF + if (result && strict) + { + if (format == input_format_t::ubjson) + { + get_ignore_noop(); + } + else + { + get(); + } + + if (JSON_HEDLEY_UNLIKELY(current != std::char_traits::eof())) + { + return sax->parse_error(chars_read, get_token_string(), + parse_error::create(110, chars_read, exception_message(format, "expected end of input; last byte: 0x" + get_token_string(), "value"), BasicJsonType())); + } + } + + return result; + } + + private: + ////////// + // BSON // + ////////// + + /*! + @brief Reads in a BSON-object and passes it to the SAX-parser. + @return whether a valid BSON-value was passed to the SAX parser + */ + bool parse_bson_internal() + { + std::int32_t document_size{}; + get_number(input_format_t::bson, document_size); + + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(static_cast(-1)))) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_bson_element_list(/*is_array*/false))) + { + return false; + } + + return sax->end_object(); + } + + /*! + @brief Parses a C-style string from the BSON input. + @param[in,out] result A reference to the string variable where the read + string is to be stored. + @return `true` if the \x00-byte indicating the end of the string was + encountered before the EOF; false` indicates an unexpected EOF. + */ + bool get_bson_cstr(string_t& result) + { + auto out = std::back_inserter(result); + while (true) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::bson, "cstring"))) + { + return false; + } + if (current == 0x00) + { + return true; + } + *out++ = static_cast(current); + } + } + + /*! + @brief Parses a zero-terminated string of length @a len from the BSON + input. + @param[in] len The length (including the zero-byte at the end) of the + string to be read. + @param[in,out] result A reference to the string variable where the read + string is to be stored. + @tparam NumberType The type of the length @a len + @pre len >= 1 + @return `true` if the string was successfully parsed + */ + template + bool get_bson_string(const NumberType len, string_t& result) + { + if (JSON_HEDLEY_UNLIKELY(len < 1)) + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::bson, "string length must be at least 1, is " + std::to_string(len), "string"), BasicJsonType())); + } + + return get_string(input_format_t::bson, len - static_cast(1), result) && get() != std::char_traits::eof(); + } + + /*! + @brief Parses a byte array input of length @a len from the BSON input. + @param[in] len The length of the byte array to be read. + @param[in,out] result A reference to the binary variable where the read + array is to be stored. + @tparam NumberType The type of the length @a len + @pre len >= 0 + @return `true` if the byte array was successfully parsed + */ + template + bool get_bson_binary(const NumberType len, binary_t& result) + { + if (JSON_HEDLEY_UNLIKELY(len < 0)) + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::bson, "byte array length cannot be negative, is " + std::to_string(len), "binary"), BasicJsonType())); + } + + // All BSON binary values have a subtype + std::uint8_t subtype{}; + get_number(input_format_t::bson, subtype); + result.set_subtype(subtype); + + return get_binary(input_format_t::bson, len, result); + } + + /*! + @brief Read a BSON document element of the given @a element_type. + @param[in] element_type The BSON element type, c.f. http://bsonspec.org/spec.html + @param[in] element_type_parse_position The position in the input stream, + where the `element_type` was read. + @warning Not all BSON element types are supported yet. An unsupported + @a element_type will give rise to a parse_error.114: + Unsupported BSON record type 0x... + @return whether a valid BSON-object/array was passed to the SAX parser + */ + bool parse_bson_element_internal(const char_int_type element_type, + const std::size_t element_type_parse_position) + { + switch (element_type) + { + case 0x01: // double + { + double number{}; + return get_number(input_format_t::bson, number) && sax->number_float(static_cast(number), ""); + } + + case 0x02: // string + { + std::int32_t len{}; + string_t value; + return get_number(input_format_t::bson, len) && get_bson_string(len, value) && sax->string(value); + } + + case 0x03: // object + { + return parse_bson_internal(); + } + + case 0x04: // array + { + return parse_bson_array(); + } + + case 0x05: // binary + { + std::int32_t len{}; + binary_t value; + return get_number(input_format_t::bson, len) && get_bson_binary(len, value) && sax->binary(value); + } + + case 0x08: // boolean + { + return sax->boolean(get() != 0); + } + + case 0x0A: // null + { + return sax->null(); + } + + case 0x10: // int32 + { + std::int32_t value{}; + return get_number(input_format_t::bson, value) && sax->number_integer(value); + } + + case 0x12: // int64 + { + std::int64_t value{}; + return get_number(input_format_t::bson, value) && sax->number_integer(value); + } + + default: // anything else not supported (yet) + { + std::array cr{{}}; + static_cast((std::snprintf)(cr.data(), cr.size(), "%.2hhX", static_cast(element_type))); // NOLINT(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + return sax->parse_error(element_type_parse_position, std::string(cr.data()), parse_error::create(114, element_type_parse_position, "Unsupported BSON record type 0x" + std::string(cr.data()), BasicJsonType())); + } + } + } + + /*! + @brief Read a BSON element list (as specified in the BSON-spec) + + The same binary layout is used for objects and arrays, hence it must be + indicated with the argument @a is_array which one is expected + (true --> array, false --> object). + + @param[in] is_array Determines if the element list being read is to be + treated as an object (@a is_array == false), or as an + array (@a is_array == true). + @return whether a valid BSON-object/array was passed to the SAX parser + */ + bool parse_bson_element_list(const bool is_array) + { + string_t key; + + while (auto element_type = get()) + { + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::bson, "element list"))) + { + return false; + } + + const std::size_t element_type_parse_position = chars_read; + if (JSON_HEDLEY_UNLIKELY(!get_bson_cstr(key))) + { + return false; + } + + if (!is_array && !sax->key(key)) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_bson_element_internal(element_type, element_type_parse_position))) + { + return false; + } + + // get_bson_cstr only appends + key.clear(); + } + + return true; + } + + /*! + @brief Reads an array from the BSON input and passes it to the SAX-parser. + @return whether a valid BSON-array was passed to the SAX parser + */ + bool parse_bson_array() + { + std::int32_t document_size{}; + get_number(input_format_t::bson, document_size); + + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(static_cast(-1)))) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_bson_element_list(/*is_array*/true))) + { + return false; + } + + return sax->end_array(); + } + + ////////// + // CBOR // + ////////// + + /*! + @param[in] get_char whether a new character should be retrieved from the + input (true) or whether the last read character should + be considered instead (false) + @param[in] tag_handler how CBOR tags should be treated + + @return whether a valid CBOR value was passed to the SAX parser + */ + bool parse_cbor_internal(const bool get_char, + const cbor_tag_handler_t tag_handler) + { + switch (get_char ? get() : current) + { + // EOF + case std::char_traits::eof(): + return unexpect_eof(input_format_t::cbor, "value"); + + // Integer 0x00..0x17 (0..23) + case 0x00: + case 0x01: + case 0x02: + case 0x03: + case 0x04: + case 0x05: + case 0x06: + case 0x07: + case 0x08: + case 0x09: + case 0x0A: + case 0x0B: + case 0x0C: + case 0x0D: + case 0x0E: + case 0x0F: + case 0x10: + case 0x11: + case 0x12: + case 0x13: + case 0x14: + case 0x15: + case 0x16: + case 0x17: + return sax->number_unsigned(static_cast(current)); + + case 0x18: // Unsigned integer (one-byte uint8_t follows) + { + std::uint8_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_unsigned(number); + } + + case 0x19: // Unsigned integer (two-byte uint16_t follows) + { + std::uint16_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_unsigned(number); + } + + case 0x1A: // Unsigned integer (four-byte uint32_t follows) + { + std::uint32_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_unsigned(number); + } + + case 0x1B: // Unsigned integer (eight-byte uint64_t follows) + { + std::uint64_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_unsigned(number); + } + + // Negative integer -1-0x00..-1-0x17 (-1..-24) + case 0x20: + case 0x21: + case 0x22: + case 0x23: + case 0x24: + case 0x25: + case 0x26: + case 0x27: + case 0x28: + case 0x29: + case 0x2A: + case 0x2B: + case 0x2C: + case 0x2D: + case 0x2E: + case 0x2F: + case 0x30: + case 0x31: + case 0x32: + case 0x33: + case 0x34: + case 0x35: + case 0x36: + case 0x37: + return sax->number_integer(static_cast(0x20 - 1 - current)); + + case 0x38: // Negative integer (one-byte uint8_t follows) + { + std::uint8_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_integer(static_cast(-1) - number); + } + + case 0x39: // Negative integer -1-n (two-byte uint16_t follows) + { + std::uint16_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_integer(static_cast(-1) - number); + } + + case 0x3A: // Negative integer -1-n (four-byte uint32_t follows) + { + std::uint32_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_integer(static_cast(-1) - number); + } + + case 0x3B: // Negative integer -1-n (eight-byte uint64_t follows) + { + std::uint64_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_integer(static_cast(-1) + - static_cast(number)); + } + + // Binary data (0x00..0x17 bytes follow) + case 0x40: + case 0x41: + case 0x42: + case 0x43: + case 0x44: + case 0x45: + case 0x46: + case 0x47: + case 0x48: + case 0x49: + case 0x4A: + case 0x4B: + case 0x4C: + case 0x4D: + case 0x4E: + case 0x4F: + case 0x50: + case 0x51: + case 0x52: + case 0x53: + case 0x54: + case 0x55: + case 0x56: + case 0x57: + case 0x58: // Binary data (one-byte uint8_t for n follows) + case 0x59: // Binary data (two-byte uint16_t for n follow) + case 0x5A: // Binary data (four-byte uint32_t for n follow) + case 0x5B: // Binary data (eight-byte uint64_t for n follow) + case 0x5F: // Binary data (indefinite length) + { + binary_t b; + return get_cbor_binary(b) && sax->binary(b); + } + + // UTF-8 string (0x00..0x17 bytes follow) + case 0x60: + case 0x61: + case 0x62: + case 0x63: + case 0x64: + case 0x65: + case 0x66: + case 0x67: + case 0x68: + case 0x69: + case 0x6A: + case 0x6B: + case 0x6C: + case 0x6D: + case 0x6E: + case 0x6F: + case 0x70: + case 0x71: + case 0x72: + case 0x73: + case 0x74: + case 0x75: + case 0x76: + case 0x77: + case 0x78: // UTF-8 string (one-byte uint8_t for n follows) + case 0x79: // UTF-8 string (two-byte uint16_t for n follow) + case 0x7A: // UTF-8 string (four-byte uint32_t for n follow) + case 0x7B: // UTF-8 string (eight-byte uint64_t for n follow) + case 0x7F: // UTF-8 string (indefinite length) + { + string_t s; + return get_cbor_string(s) && sax->string(s); + } + + // array (0x00..0x17 data items follow) + case 0x80: + case 0x81: + case 0x82: + case 0x83: + case 0x84: + case 0x85: + case 0x86: + case 0x87: + case 0x88: + case 0x89: + case 0x8A: + case 0x8B: + case 0x8C: + case 0x8D: + case 0x8E: + case 0x8F: + case 0x90: + case 0x91: + case 0x92: + case 0x93: + case 0x94: + case 0x95: + case 0x96: + case 0x97: + return get_cbor_array(static_cast(static_cast(current) & 0x1Fu), tag_handler); + + case 0x98: // array (one-byte uint8_t for n follows) + { + std::uint8_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_array(static_cast(len), tag_handler); + } + + case 0x99: // array (two-byte uint16_t for n follow) + { + std::uint16_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_array(static_cast(len), tag_handler); + } + + case 0x9A: // array (four-byte uint32_t for n follow) + { + std::uint32_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_array(static_cast(len), tag_handler); + } + + case 0x9B: // array (eight-byte uint64_t for n follow) + { + std::uint64_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_array(detail::conditional_static_cast(len), tag_handler); + } + + case 0x9F: // array (indefinite length) + return get_cbor_array(static_cast(-1), tag_handler); + + // map (0x00..0x17 pairs of data items follow) + case 0xA0: + case 0xA1: + case 0xA2: + case 0xA3: + case 0xA4: + case 0xA5: + case 0xA6: + case 0xA7: + case 0xA8: + case 0xA9: + case 0xAA: + case 0xAB: + case 0xAC: + case 0xAD: + case 0xAE: + case 0xAF: + case 0xB0: + case 0xB1: + case 0xB2: + case 0xB3: + case 0xB4: + case 0xB5: + case 0xB6: + case 0xB7: + return get_cbor_object(static_cast(static_cast(current) & 0x1Fu), tag_handler); + + case 0xB8: // map (one-byte uint8_t for n follows) + { + std::uint8_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_object(static_cast(len), tag_handler); + } + + case 0xB9: // map (two-byte uint16_t for n follow) + { + std::uint16_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_object(static_cast(len), tag_handler); + } + + case 0xBA: // map (four-byte uint32_t for n follow) + { + std::uint32_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_object(static_cast(len), tag_handler); + } + + case 0xBB: // map (eight-byte uint64_t for n follow) + { + std::uint64_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_object(detail::conditional_static_cast(len), tag_handler); + } + + case 0xBF: // map (indefinite length) + return get_cbor_object(static_cast(-1), tag_handler); + + case 0xC6: // tagged item + case 0xC7: + case 0xC8: + case 0xC9: + case 0xCA: + case 0xCB: + case 0xCC: + case 0xCD: + case 0xCE: + case 0xCF: + case 0xD0: + case 0xD1: + case 0xD2: + case 0xD3: + case 0xD4: + case 0xD8: // tagged item (1 bytes follow) + case 0xD9: // tagged item (2 bytes follow) + case 0xDA: // tagged item (4 bytes follow) + case 0xDB: // tagged item (8 bytes follow) + { + switch (tag_handler) + { + case cbor_tag_handler_t::error: + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::cbor, "invalid byte: 0x" + last_token, "value"), BasicJsonType())); + } + + case cbor_tag_handler_t::ignore: + { + // ignore binary subtype + switch (current) + { + case 0xD8: + { + std::uint8_t subtype_to_ignore{}; + get_number(input_format_t::cbor, subtype_to_ignore); + break; + } + case 0xD9: + { + std::uint16_t subtype_to_ignore{}; + get_number(input_format_t::cbor, subtype_to_ignore); + break; + } + case 0xDA: + { + std::uint32_t subtype_to_ignore{}; + get_number(input_format_t::cbor, subtype_to_ignore); + break; + } + case 0xDB: + { + std::uint64_t subtype_to_ignore{}; + get_number(input_format_t::cbor, subtype_to_ignore); + break; + } + default: + break; + } + return parse_cbor_internal(true, tag_handler); + } + + case cbor_tag_handler_t::store: + { + binary_t b; + // use binary subtype and store in binary container + switch (current) + { + case 0xD8: + { + std::uint8_t subtype{}; + get_number(input_format_t::cbor, subtype); + b.set_subtype(detail::conditional_static_cast(subtype)); + break; + } + case 0xD9: + { + std::uint16_t subtype{}; + get_number(input_format_t::cbor, subtype); + b.set_subtype(detail::conditional_static_cast(subtype)); + break; + } + case 0xDA: + { + std::uint32_t subtype{}; + get_number(input_format_t::cbor, subtype); + b.set_subtype(detail::conditional_static_cast(subtype)); + break; + } + case 0xDB: + { + std::uint64_t subtype{}; + get_number(input_format_t::cbor, subtype); + b.set_subtype(detail::conditional_static_cast(subtype)); + break; + } + default: + return parse_cbor_internal(true, tag_handler); + } + get(); + return get_cbor_binary(b) && sax->binary(b); + } + + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + return false; // LCOV_EXCL_LINE + } + } + + case 0xF4: // false + return sax->boolean(false); + + case 0xF5: // true + return sax->boolean(true); + + case 0xF6: // null + return sax->null(); + + case 0xF9: // Half-Precision Float (two-byte IEEE 754) + { + const auto byte1_raw = get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::cbor, "number"))) + { + return false; + } + const auto byte2_raw = get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::cbor, "number"))) + { + return false; + } + + const auto byte1 = static_cast(byte1_raw); + const auto byte2 = static_cast(byte2_raw); + + // code from RFC 7049, Appendix D, Figure 3: + // As half-precision floating-point numbers were only added + // to IEEE 754 in 2008, today's programming platforms often + // still only have limited support for them. It is very + // easy to include at least decoding support for them even + // without such support. An example of a small decoder for + // half-precision floating-point numbers in the C language + // is shown in Fig. 3. + const auto half = static_cast((byte1 << 8u) + byte2); + const double val = [&half] + { + const int exp = (half >> 10u) & 0x1Fu; + const unsigned int mant = half & 0x3FFu; + JSON_ASSERT(0 <= exp&& exp <= 32); + JSON_ASSERT(mant <= 1024); + switch (exp) + { + case 0: + return std::ldexp(mant, -24); + case 31: + return (mant == 0) + ? std::numeric_limits::infinity() + : std::numeric_limits::quiet_NaN(); + default: + return std::ldexp(mant + 1024, exp - 25); + } + }(); + return sax->number_float((half & 0x8000u) != 0 + ? static_cast(-val) + : static_cast(val), ""); + } + + case 0xFA: // Single-Precision Float (four-byte IEEE 754) + { + float number{}; + return get_number(input_format_t::cbor, number) && sax->number_float(static_cast(number), ""); + } + + case 0xFB: // Double-Precision Float (eight-byte IEEE 754) + { + double number{}; + return get_number(input_format_t::cbor, number) && sax->number_float(static_cast(number), ""); + } + + default: // anything else (0xFF is handled inside the other types) + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::cbor, "invalid byte: 0x" + last_token, "value"), BasicJsonType())); + } + } + } + + /*! + @brief reads a CBOR string + + This function first reads starting bytes to determine the expected + string length and then copies this number of bytes into a string. + Additionally, CBOR's strings with indefinite lengths are supported. + + @param[out] result created string + + @return whether string creation completed + */ + bool get_cbor_string(string_t& result) + { + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::cbor, "string"))) + { + return false; + } + + switch (current) + { + // UTF-8 string (0x00..0x17 bytes follow) + case 0x60: + case 0x61: + case 0x62: + case 0x63: + case 0x64: + case 0x65: + case 0x66: + case 0x67: + case 0x68: + case 0x69: + case 0x6A: + case 0x6B: + case 0x6C: + case 0x6D: + case 0x6E: + case 0x6F: + case 0x70: + case 0x71: + case 0x72: + case 0x73: + case 0x74: + case 0x75: + case 0x76: + case 0x77: + { + return get_string(input_format_t::cbor, static_cast(current) & 0x1Fu, result); + } + + case 0x78: // UTF-8 string (one-byte uint8_t for n follows) + { + std::uint8_t len{}; + return get_number(input_format_t::cbor, len) && get_string(input_format_t::cbor, len, result); + } + + case 0x79: // UTF-8 string (two-byte uint16_t for n follow) + { + std::uint16_t len{}; + return get_number(input_format_t::cbor, len) && get_string(input_format_t::cbor, len, result); + } + + case 0x7A: // UTF-8 string (four-byte uint32_t for n follow) + { + std::uint32_t len{}; + return get_number(input_format_t::cbor, len) && get_string(input_format_t::cbor, len, result); + } + + case 0x7B: // UTF-8 string (eight-byte uint64_t for n follow) + { + std::uint64_t len{}; + return get_number(input_format_t::cbor, len) && get_string(input_format_t::cbor, len, result); + } + + case 0x7F: // UTF-8 string (indefinite length) + { + while (get() != 0xFF) + { + string_t chunk; + if (!get_cbor_string(chunk)) + { + return false; + } + result.append(chunk); + } + return true; + } + + default: + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::cbor, "expected length specification (0x60-0x7B) or indefinite string type (0x7F); last byte: 0x" + last_token, "string"), BasicJsonType())); + } + } + } + + /*! + @brief reads a CBOR byte array + + This function first reads starting bytes to determine the expected + byte array length and then copies this number of bytes into the byte array. + Additionally, CBOR's byte arrays with indefinite lengths are supported. + + @param[out] result created byte array + + @return whether byte array creation completed + */ + bool get_cbor_binary(binary_t& result) + { + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::cbor, "binary"))) + { + return false; + } + + switch (current) + { + // Binary data (0x00..0x17 bytes follow) + case 0x40: + case 0x41: + case 0x42: + case 0x43: + case 0x44: + case 0x45: + case 0x46: + case 0x47: + case 0x48: + case 0x49: + case 0x4A: + case 0x4B: + case 0x4C: + case 0x4D: + case 0x4E: + case 0x4F: + case 0x50: + case 0x51: + case 0x52: + case 0x53: + case 0x54: + case 0x55: + case 0x56: + case 0x57: + { + return get_binary(input_format_t::cbor, static_cast(current) & 0x1Fu, result); + } + + case 0x58: // Binary data (one-byte uint8_t for n follows) + { + std::uint8_t len{}; + return get_number(input_format_t::cbor, len) && + get_binary(input_format_t::cbor, len, result); + } + + case 0x59: // Binary data (two-byte uint16_t for n follow) + { + std::uint16_t len{}; + return get_number(input_format_t::cbor, len) && + get_binary(input_format_t::cbor, len, result); + } + + case 0x5A: // Binary data (four-byte uint32_t for n follow) + { + std::uint32_t len{}; + return get_number(input_format_t::cbor, len) && + get_binary(input_format_t::cbor, len, result); + } + + case 0x5B: // Binary data (eight-byte uint64_t for n follow) + { + std::uint64_t len{}; + return get_number(input_format_t::cbor, len) && + get_binary(input_format_t::cbor, len, result); + } + + case 0x5F: // Binary data (indefinite length) + { + while (get() != 0xFF) + { + binary_t chunk; + if (!get_cbor_binary(chunk)) + { + return false; + } + result.insert(result.end(), chunk.begin(), chunk.end()); + } + return true; + } + + default: + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::cbor, "expected length specification (0x40-0x5B) or indefinite binary array type (0x5F); last byte: 0x" + last_token, "binary"), BasicJsonType())); + } + } + } + + /*! + @param[in] len the length of the array or static_cast(-1) for an + array of indefinite size + @param[in] tag_handler how CBOR tags should be treated + @return whether array creation completed + */ + bool get_cbor_array(const std::size_t len, + const cbor_tag_handler_t tag_handler) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(len))) + { + return false; + } + + if (len != static_cast(-1)) + { + for (std::size_t i = 0; i < len; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!parse_cbor_internal(true, tag_handler))) + { + return false; + } + } + } + else + { + while (get() != 0xFF) + { + if (JSON_HEDLEY_UNLIKELY(!parse_cbor_internal(false, tag_handler))) + { + return false; + } + } + } + + return sax->end_array(); + } + + /*! + @param[in] len the length of the object or static_cast(-1) for an + object of indefinite size + @param[in] tag_handler how CBOR tags should be treated + @return whether object creation completed + */ + bool get_cbor_object(const std::size_t len, + const cbor_tag_handler_t tag_handler) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(len))) + { + return false; + } + + if (len != 0) + { + string_t key; + if (len != static_cast(-1)) + { + for (std::size_t i = 0; i < len; ++i) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!get_cbor_string(key) || !sax->key(key))) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_cbor_internal(true, tag_handler))) + { + return false; + } + key.clear(); + } + } + else + { + while (get() != 0xFF) + { + if (JSON_HEDLEY_UNLIKELY(!get_cbor_string(key) || !sax->key(key))) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_cbor_internal(true, tag_handler))) + { + return false; + } + key.clear(); + } + } + } + + return sax->end_object(); + } + + ///////////// + // MsgPack // + ///////////// + + /*! + @return whether a valid MessagePack value was passed to the SAX parser + */ + bool parse_msgpack_internal() + { + switch (get()) + { + // EOF + case std::char_traits::eof(): + return unexpect_eof(input_format_t::msgpack, "value"); + + // positive fixint + case 0x00: + case 0x01: + case 0x02: + case 0x03: + case 0x04: + case 0x05: + case 0x06: + case 0x07: + case 0x08: + case 0x09: + case 0x0A: + case 0x0B: + case 0x0C: + case 0x0D: + case 0x0E: + case 0x0F: + case 0x10: + case 0x11: + case 0x12: + case 0x13: + case 0x14: + case 0x15: + case 0x16: + case 0x17: + case 0x18: + case 0x19: + case 0x1A: + case 0x1B: + case 0x1C: + case 0x1D: + case 0x1E: + case 0x1F: + case 0x20: + case 0x21: + case 0x22: + case 0x23: + case 0x24: + case 0x25: + case 0x26: + case 0x27: + case 0x28: + case 0x29: + case 0x2A: + case 0x2B: + case 0x2C: + case 0x2D: + case 0x2E: + case 0x2F: + case 0x30: + case 0x31: + case 0x32: + case 0x33: + case 0x34: + case 0x35: + case 0x36: + case 0x37: + case 0x38: + case 0x39: + case 0x3A: + case 0x3B: + case 0x3C: + case 0x3D: + case 0x3E: + case 0x3F: + case 0x40: + case 0x41: + case 0x42: + case 0x43: + case 0x44: + case 0x45: + case 0x46: + case 0x47: + case 0x48: + case 0x49: + case 0x4A: + case 0x4B: + case 0x4C: + case 0x4D: + case 0x4E: + case 0x4F: + case 0x50: + case 0x51: + case 0x52: + case 0x53: + case 0x54: + case 0x55: + case 0x56: + case 0x57: + case 0x58: + case 0x59: + case 0x5A: + case 0x5B: + case 0x5C: + case 0x5D: + case 0x5E: + case 0x5F: + case 0x60: + case 0x61: + case 0x62: + case 0x63: + case 0x64: + case 0x65: + case 0x66: + case 0x67: + case 0x68: + case 0x69: + case 0x6A: + case 0x6B: + case 0x6C: + case 0x6D: + case 0x6E: + case 0x6F: + case 0x70: + case 0x71: + case 0x72: + case 0x73: + case 0x74: + case 0x75: + case 0x76: + case 0x77: + case 0x78: + case 0x79: + case 0x7A: + case 0x7B: + case 0x7C: + case 0x7D: + case 0x7E: + case 0x7F: + return sax->number_unsigned(static_cast(current)); + + // fixmap + case 0x80: + case 0x81: + case 0x82: + case 0x83: + case 0x84: + case 0x85: + case 0x86: + case 0x87: + case 0x88: + case 0x89: + case 0x8A: + case 0x8B: + case 0x8C: + case 0x8D: + case 0x8E: + case 0x8F: + return get_msgpack_object(static_cast(static_cast(current) & 0x0Fu)); + + // fixarray + case 0x90: + case 0x91: + case 0x92: + case 0x93: + case 0x94: + case 0x95: + case 0x96: + case 0x97: + case 0x98: + case 0x99: + case 0x9A: + case 0x9B: + case 0x9C: + case 0x9D: + case 0x9E: + case 0x9F: + return get_msgpack_array(static_cast(static_cast(current) & 0x0Fu)); + + // fixstr + case 0xA0: + case 0xA1: + case 0xA2: + case 0xA3: + case 0xA4: + case 0xA5: + case 0xA6: + case 0xA7: + case 0xA8: + case 0xA9: + case 0xAA: + case 0xAB: + case 0xAC: + case 0xAD: + case 0xAE: + case 0xAF: + case 0xB0: + case 0xB1: + case 0xB2: + case 0xB3: + case 0xB4: + case 0xB5: + case 0xB6: + case 0xB7: + case 0xB8: + case 0xB9: + case 0xBA: + case 0xBB: + case 0xBC: + case 0xBD: + case 0xBE: + case 0xBF: + case 0xD9: // str 8 + case 0xDA: // str 16 + case 0xDB: // str 32 + { + string_t s; + return get_msgpack_string(s) && sax->string(s); + } + + case 0xC0: // nil + return sax->null(); + + case 0xC2: // false + return sax->boolean(false); + + case 0xC3: // true + return sax->boolean(true); + + case 0xC4: // bin 8 + case 0xC5: // bin 16 + case 0xC6: // bin 32 + case 0xC7: // ext 8 + case 0xC8: // ext 16 + case 0xC9: // ext 32 + case 0xD4: // fixext 1 + case 0xD5: // fixext 2 + case 0xD6: // fixext 4 + case 0xD7: // fixext 8 + case 0xD8: // fixext 16 + { + binary_t b; + return get_msgpack_binary(b) && sax->binary(b); + } + + case 0xCA: // float 32 + { + float number{}; + return get_number(input_format_t::msgpack, number) && sax->number_float(static_cast(number), ""); + } + + case 0xCB: // float 64 + { + double number{}; + return get_number(input_format_t::msgpack, number) && sax->number_float(static_cast(number), ""); + } + + case 0xCC: // uint 8 + { + std::uint8_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_unsigned(number); + } + + case 0xCD: // uint 16 + { + std::uint16_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_unsigned(number); + } + + case 0xCE: // uint 32 + { + std::uint32_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_unsigned(number); + } + + case 0xCF: // uint 64 + { + std::uint64_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_unsigned(number); + } + + case 0xD0: // int 8 + { + std::int8_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_integer(number); + } + + case 0xD1: // int 16 + { + std::int16_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_integer(number); + } + + case 0xD2: // int 32 + { + std::int32_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_integer(number); + } + + case 0xD3: // int 64 + { + std::int64_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_integer(number); + } + + case 0xDC: // array 16 + { + std::uint16_t len{}; + return get_number(input_format_t::msgpack, len) && get_msgpack_array(static_cast(len)); + } + + case 0xDD: // array 32 + { + std::uint32_t len{}; + return get_number(input_format_t::msgpack, len) && get_msgpack_array(static_cast(len)); + } + + case 0xDE: // map 16 + { + std::uint16_t len{}; + return get_number(input_format_t::msgpack, len) && get_msgpack_object(static_cast(len)); + } + + case 0xDF: // map 32 + { + std::uint32_t len{}; + return get_number(input_format_t::msgpack, len) && get_msgpack_object(static_cast(len)); + } + + // negative fixint + case 0xE0: + case 0xE1: + case 0xE2: + case 0xE3: + case 0xE4: + case 0xE5: + case 0xE6: + case 0xE7: + case 0xE8: + case 0xE9: + case 0xEA: + case 0xEB: + case 0xEC: + case 0xED: + case 0xEE: + case 0xEF: + case 0xF0: + case 0xF1: + case 0xF2: + case 0xF3: + case 0xF4: + case 0xF5: + case 0xF6: + case 0xF7: + case 0xF8: + case 0xF9: + case 0xFA: + case 0xFB: + case 0xFC: + case 0xFD: + case 0xFE: + case 0xFF: + return sax->number_integer(static_cast(current)); + + default: // anything else + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::msgpack, "invalid byte: 0x" + last_token, "value"), BasicJsonType())); + } + } + } + + /*! + @brief reads a MessagePack string + + This function first reads starting bytes to determine the expected + string length and then copies this number of bytes into a string. + + @param[out] result created string + + @return whether string creation completed + */ + bool get_msgpack_string(string_t& result) + { + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::msgpack, "string"))) + { + return false; + } + + switch (current) + { + // fixstr + case 0xA0: + case 0xA1: + case 0xA2: + case 0xA3: + case 0xA4: + case 0xA5: + case 0xA6: + case 0xA7: + case 0xA8: + case 0xA9: + case 0xAA: + case 0xAB: + case 0xAC: + case 0xAD: + case 0xAE: + case 0xAF: + case 0xB0: + case 0xB1: + case 0xB2: + case 0xB3: + case 0xB4: + case 0xB5: + case 0xB6: + case 0xB7: + case 0xB8: + case 0xB9: + case 0xBA: + case 0xBB: + case 0xBC: + case 0xBD: + case 0xBE: + case 0xBF: + { + return get_string(input_format_t::msgpack, static_cast(current) & 0x1Fu, result); + } + + case 0xD9: // str 8 + { + std::uint8_t len{}; + return get_number(input_format_t::msgpack, len) && get_string(input_format_t::msgpack, len, result); + } + + case 0xDA: // str 16 + { + std::uint16_t len{}; + return get_number(input_format_t::msgpack, len) && get_string(input_format_t::msgpack, len, result); + } + + case 0xDB: // str 32 + { + std::uint32_t len{}; + return get_number(input_format_t::msgpack, len) && get_string(input_format_t::msgpack, len, result); + } + + default: + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::msgpack, "expected length specification (0xA0-0xBF, 0xD9-0xDB); last byte: 0x" + last_token, "string"), BasicJsonType())); + } + } + } + + /*! + @brief reads a MessagePack byte array + + This function first reads starting bytes to determine the expected + byte array length and then copies this number of bytes into a byte array. + + @param[out] result created byte array + + @return whether byte array creation completed + */ + bool get_msgpack_binary(binary_t& result) + { + // helper function to set the subtype + auto assign_and_return_true = [&result](std::int8_t subtype) + { + result.set_subtype(static_cast(subtype)); + return true; + }; + + switch (current) + { + case 0xC4: // bin 8 + { + std::uint8_t len{}; + return get_number(input_format_t::msgpack, len) && + get_binary(input_format_t::msgpack, len, result); + } + + case 0xC5: // bin 16 + { + std::uint16_t len{}; + return get_number(input_format_t::msgpack, len) && + get_binary(input_format_t::msgpack, len, result); + } + + case 0xC6: // bin 32 + { + std::uint32_t len{}; + return get_number(input_format_t::msgpack, len) && + get_binary(input_format_t::msgpack, len, result); + } + + case 0xC7: // ext 8 + { + std::uint8_t len{}; + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, len) && + get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, len, result) && + assign_and_return_true(subtype); + } + + case 0xC8: // ext 16 + { + std::uint16_t len{}; + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, len) && + get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, len, result) && + assign_and_return_true(subtype); + } + + case 0xC9: // ext 32 + { + std::uint32_t len{}; + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, len) && + get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, len, result) && + assign_and_return_true(subtype); + } + + case 0xD4: // fixext 1 + { + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, 1, result) && + assign_and_return_true(subtype); + } + + case 0xD5: // fixext 2 + { + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, 2, result) && + assign_and_return_true(subtype); + } + + case 0xD6: // fixext 4 + { + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, 4, result) && + assign_and_return_true(subtype); + } + + case 0xD7: // fixext 8 + { + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, 8, result) && + assign_and_return_true(subtype); + } + + case 0xD8: // fixext 16 + { + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, 16, result) && + assign_and_return_true(subtype); + } + + default: // LCOV_EXCL_LINE + return false; // LCOV_EXCL_LINE + } + } + + /*! + @param[in] len the length of the array + @return whether array creation completed + */ + bool get_msgpack_array(const std::size_t len) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(len))) + { + return false; + } + + for (std::size_t i = 0; i < len; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!parse_msgpack_internal())) + { + return false; + } + } + + return sax->end_array(); + } + + /*! + @param[in] len the length of the object + @return whether object creation completed + */ + bool get_msgpack_object(const std::size_t len) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(len))) + { + return false; + } + + string_t key; + for (std::size_t i = 0; i < len; ++i) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!get_msgpack_string(key) || !sax->key(key))) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_msgpack_internal())) + { + return false; + } + key.clear(); + } + + return sax->end_object(); + } + + //////////// + // UBJSON // + //////////// + + /*! + @param[in] get_char whether a new character should be retrieved from the + input (true, default) or whether the last read + character should be considered instead + + @return whether a valid UBJSON value was passed to the SAX parser + */ + bool parse_ubjson_internal(const bool get_char = true) + { + return get_ubjson_value(get_char ? get_ignore_noop() : current); + } + + /*! + @brief reads a UBJSON string + + This function is either called after reading the 'S' byte explicitly + indicating a string, or in case of an object key where the 'S' byte can be + left out. + + @param[out] result created string + @param[in] get_char whether a new character should be retrieved from the + input (true, default) or whether the last read + character should be considered instead + + @return whether string creation completed + */ + bool get_ubjson_string(string_t& result, const bool get_char = true) + { + if (get_char) + { + get(); // TODO(niels): may we ignore N here? + } + + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::ubjson, "value"))) + { + return false; + } + + switch (current) + { + case 'U': + { + std::uint8_t len{}; + return get_number(input_format_t::ubjson, len) && get_string(input_format_t::ubjson, len, result); + } + + case 'i': + { + std::int8_t len{}; + return get_number(input_format_t::ubjson, len) && get_string(input_format_t::ubjson, len, result); + } + + case 'I': + { + std::int16_t len{}; + return get_number(input_format_t::ubjson, len) && get_string(input_format_t::ubjson, len, result); + } + + case 'l': + { + std::int32_t len{}; + return get_number(input_format_t::ubjson, len) && get_string(input_format_t::ubjson, len, result); + } + + case 'L': + { + std::int64_t len{}; + return get_number(input_format_t::ubjson, len) && get_string(input_format_t::ubjson, len, result); + } + + default: + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::ubjson, "expected length type specification (U, i, I, l, L); last byte: 0x" + last_token, "string"), BasicJsonType())); + } + } + + /*! + @param[out] result determined size + @return whether size determination completed + */ + bool get_ubjson_size_value(std::size_t& result) + { + switch (get_ignore_noop()) + { + case 'U': + { + std::uint8_t number{}; + if (JSON_HEDLEY_UNLIKELY(!get_number(input_format_t::ubjson, number))) + { + return false; + } + result = static_cast(number); + return true; + } + + case 'i': + { + std::int8_t number{}; + if (JSON_HEDLEY_UNLIKELY(!get_number(input_format_t::ubjson, number))) + { + return false; + } + result = static_cast(number); // NOLINT(bugprone-signed-char-misuse,cert-str34-c): number is not a char + return true; + } + + case 'I': + { + std::int16_t number{}; + if (JSON_HEDLEY_UNLIKELY(!get_number(input_format_t::ubjson, number))) + { + return false; + } + result = static_cast(number); + return true; + } + + case 'l': + { + std::int32_t number{}; + if (JSON_HEDLEY_UNLIKELY(!get_number(input_format_t::ubjson, number))) + { + return false; + } + result = static_cast(number); + return true; + } + + case 'L': + { + std::int64_t number{}; + if (JSON_HEDLEY_UNLIKELY(!get_number(input_format_t::ubjson, number))) + { + return false; + } + result = static_cast(number); + return true; + } + + default: + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::ubjson, "expected length type specification (U, i, I, l, L) after '#'; last byte: 0x" + last_token, "size"), BasicJsonType())); + } + } + } + + /*! + @brief determine the type and size for a container + + In the optimized UBJSON format, a type and a size can be provided to allow + for a more compact representation. + + @param[out] result pair of the size and the type + + @return whether pair creation completed + */ + bool get_ubjson_size_type(std::pair& result) + { + result.first = string_t::npos; // size + result.second = 0; // type + + get_ignore_noop(); + + if (current == '$') + { + result.second = get(); // must not ignore 'N', because 'N' maybe the type + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::ubjson, "type"))) + { + return false; + } + + get_ignore_noop(); + if (JSON_HEDLEY_UNLIKELY(current != '#')) + { + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::ubjson, "value"))) + { + return false; + } + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::ubjson, "expected '#' after type information; last byte: 0x" + last_token, "size"), BasicJsonType())); + } + + return get_ubjson_size_value(result.first); + } + + if (current == '#') + { + return get_ubjson_size_value(result.first); + } + + return true; + } + + /*! + @param prefix the previously read or set type prefix + @return whether value creation completed + */ + bool get_ubjson_value(const char_int_type prefix) + { + switch (prefix) + { + case std::char_traits::eof(): // EOF + return unexpect_eof(input_format_t::ubjson, "value"); + + case 'T': // true + return sax->boolean(true); + case 'F': // false + return sax->boolean(false); + + case 'Z': // null + return sax->null(); + + case 'U': + { + std::uint8_t number{}; + return get_number(input_format_t::ubjson, number) && sax->number_unsigned(number); + } + + case 'i': + { + std::int8_t number{}; + return get_number(input_format_t::ubjson, number) && sax->number_integer(number); + } + + case 'I': + { + std::int16_t number{}; + return get_number(input_format_t::ubjson, number) && sax->number_integer(number); + } + + case 'l': + { + std::int32_t number{}; + return get_number(input_format_t::ubjson, number) && sax->number_integer(number); + } + + case 'L': + { + std::int64_t number{}; + return get_number(input_format_t::ubjson, number) && sax->number_integer(number); + } + + case 'd': + { + float number{}; + return get_number(input_format_t::ubjson, number) && sax->number_float(static_cast(number), ""); + } + + case 'D': + { + double number{}; + return get_number(input_format_t::ubjson, number) && sax->number_float(static_cast(number), ""); + } + + case 'H': + { + return get_ubjson_high_precision_number(); + } + + case 'C': // char + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::ubjson, "char"))) + { + return false; + } + if (JSON_HEDLEY_UNLIKELY(current > 127)) + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::ubjson, "byte after 'C' must be in range 0x00..0x7F; last byte: 0x" + last_token, "char"), BasicJsonType())); + } + string_t s(1, static_cast(current)); + return sax->string(s); + } + + case 'S': // string + { + string_t s; + return get_ubjson_string(s) && sax->string(s); + } + + case '[': // array + return get_ubjson_array(); + + case '{': // object + return get_ubjson_object(); + + default: // anything else + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::ubjson, "invalid byte: 0x" + last_token, "value"), BasicJsonType())); + } + } + } + + /*! + @return whether array creation completed + */ + bool get_ubjson_array() + { + std::pair size_and_type; + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_size_type(size_and_type))) + { + return false; + } + + if (size_and_type.first != string_t::npos) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(size_and_type.first))) + { + return false; + } + + if (size_and_type.second != 0) + { + if (size_and_type.second != 'N') + { + for (std::size_t i = 0; i < size_and_type.first; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_value(size_and_type.second))) + { + return false; + } + } + } + } + else + { + for (std::size_t i = 0; i < size_and_type.first; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!parse_ubjson_internal())) + { + return false; + } + } + } + } + else + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(static_cast(-1)))) + { + return false; + } + + while (current != ']') + { + if (JSON_HEDLEY_UNLIKELY(!parse_ubjson_internal(false))) + { + return false; + } + get_ignore_noop(); + } + } + + return sax->end_array(); + } + + /*! + @return whether object creation completed + */ + bool get_ubjson_object() + { + std::pair size_and_type; + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_size_type(size_and_type))) + { + return false; + } + + string_t key; + if (size_and_type.first != string_t::npos) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(size_and_type.first))) + { + return false; + } + + if (size_and_type.second != 0) + { + for (std::size_t i = 0; i < size_and_type.first; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_string(key) || !sax->key(key))) + { + return false; + } + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_value(size_and_type.second))) + { + return false; + } + key.clear(); + } + } + else + { + for (std::size_t i = 0; i < size_and_type.first; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_string(key) || !sax->key(key))) + { + return false; + } + if (JSON_HEDLEY_UNLIKELY(!parse_ubjson_internal())) + { + return false; + } + key.clear(); + } + } + } + else + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(static_cast(-1)))) + { + return false; + } + + while (current != '}') + { + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_string(key, false) || !sax->key(key))) + { + return false; + } + if (JSON_HEDLEY_UNLIKELY(!parse_ubjson_internal())) + { + return false; + } + get_ignore_noop(); + key.clear(); + } + } + + return sax->end_object(); + } + + // Note, no reader for UBJSON binary types is implemented because they do + // not exist + + bool get_ubjson_high_precision_number() + { + // get size of following number string + std::size_t size{}; + auto res = get_ubjson_size_value(size); + if (JSON_HEDLEY_UNLIKELY(!res)) + { + return res; + } + + // get number string + std::vector number_vector; + for (std::size_t i = 0; i < size; ++i) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::ubjson, "number"))) + { + return false; + } + number_vector.push_back(static_cast(current)); + } + + // parse number string + using ia_type = decltype(detail::input_adapter(number_vector)); + auto number_lexer = detail::lexer(detail::input_adapter(number_vector), false); + const auto result_number = number_lexer.scan(); + const auto number_string = number_lexer.get_token_string(); + const auto result_remainder = number_lexer.scan(); + + using token_type = typename detail::lexer_base::token_type; + + if (JSON_HEDLEY_UNLIKELY(result_remainder != token_type::end_of_input)) + { + return sax->parse_error(chars_read, number_string, parse_error::create(115, chars_read, exception_message(input_format_t::ubjson, "invalid number text: " + number_lexer.get_token_string(), "high-precision number"), BasicJsonType())); + } + + switch (result_number) + { + case token_type::value_integer: + return sax->number_integer(number_lexer.get_number_integer()); + case token_type::value_unsigned: + return sax->number_unsigned(number_lexer.get_number_unsigned()); + case token_type::value_float: + return sax->number_float(number_lexer.get_number_float(), std::move(number_string)); + case token_type::uninitialized: + case token_type::literal_true: + case token_type::literal_false: + case token_type::literal_null: + case token_type::value_string: + case token_type::begin_array: + case token_type::begin_object: + case token_type::end_array: + case token_type::end_object: + case token_type::name_separator: + case token_type::value_separator: + case token_type::parse_error: + case token_type::end_of_input: + case token_type::literal_or_value: + default: + return sax->parse_error(chars_read, number_string, parse_error::create(115, chars_read, exception_message(input_format_t::ubjson, "invalid number text: " + number_lexer.get_token_string(), "high-precision number"), BasicJsonType())); + } + } + + /////////////////////// + // Utility functions // + /////////////////////// + + /*! + @brief get next character from the input + + This function provides the interface to the used input adapter. It does + not throw in case the input reached EOF, but returns a -'ve valued + `std::char_traits::eof()` in that case. + + @return character read from the input + */ + char_int_type get() + { + ++chars_read; + return current = ia.get_character(); + } + + /*! + @return character read from the input after ignoring all 'N' entries + */ + char_int_type get_ignore_noop() + { + do + { + get(); + } + while (current == 'N'); + + return current; + } + + /* + @brief read a number from the input + + @tparam NumberType the type of the number + @param[in] format the current format (for diagnostics) + @param[out] result number of type @a NumberType + + @return whether conversion completed + + @note This function needs to respect the system's endianness, because + bytes in CBOR, MessagePack, and UBJSON are stored in network order + (big endian) and therefore need reordering on little endian systems. + */ + template + bool get_number(const input_format_t format, NumberType& result) + { + // step 1: read input into array with system's byte order + std::array vec{}; + for (std::size_t i = 0; i < sizeof(NumberType); ++i) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(format, "number"))) + { + return false; + } + + // reverse byte order prior to conversion if necessary + if (is_little_endian != InputIsLittleEndian) + { + vec[sizeof(NumberType) - i - 1] = static_cast(current); + } + else + { + vec[i] = static_cast(current); // LCOV_EXCL_LINE + } + } + + // step 2: convert array into number of type T and return + std::memcpy(&result, vec.data(), sizeof(NumberType)); + return true; + } + + /*! + @brief create a string by reading characters from the input + + @tparam NumberType the type of the number + @param[in] format the current format (for diagnostics) + @param[in] len number of characters to read + @param[out] result string created by reading @a len bytes + + @return whether string creation completed + + @note We can not reserve @a len bytes for the result, because @a len + may be too large. Usually, @ref unexpect_eof() detects the end of + the input before we run out of string memory. + */ + template + bool get_string(const input_format_t format, + const NumberType len, + string_t& result) + { + bool success = true; + for (NumberType i = 0; i < len; i++) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(format, "string"))) + { + success = false; + break; + } + result.push_back(static_cast(current)); + } + return success; + } + + /*! + @brief create a byte array by reading bytes from the input + + @tparam NumberType the type of the number + @param[in] format the current format (for diagnostics) + @param[in] len number of bytes to read + @param[out] result byte array created by reading @a len bytes + + @return whether byte array creation completed + + @note We can not reserve @a len bytes for the result, because @a len + may be too large. Usually, @ref unexpect_eof() detects the end of + the input before we run out of memory. + */ + template + bool get_binary(const input_format_t format, + const NumberType len, + binary_t& result) + { + bool success = true; + for (NumberType i = 0; i < len; i++) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(format, "binary"))) + { + success = false; + break; + } + result.push_back(static_cast(current)); + } + return success; + } + + /*! + @param[in] format the current format (for diagnostics) + @param[in] context further context information (for diagnostics) + @return whether the last read character is not EOF + */ + JSON_HEDLEY_NON_NULL(3) + bool unexpect_eof(const input_format_t format, const char* context) const + { + if (JSON_HEDLEY_UNLIKELY(current == std::char_traits::eof())) + { + return sax->parse_error(chars_read, "", + parse_error::create(110, chars_read, exception_message(format, "unexpected end of input", context), BasicJsonType())); + } + return true; + } + + /*! + @return a string representation of the last read byte + */ + std::string get_token_string() const + { + std::array cr{{}}; + static_cast((std::snprintf)(cr.data(), cr.size(), "%.2hhX", static_cast(current))); // NOLINT(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + return std::string{cr.data()}; + } + + /*! + @param[in] format the current format + @param[in] detail a detailed error message + @param[in] context further context information + @return a message string to use in the parse_error exceptions + */ + std::string exception_message(const input_format_t format, + const std::string& detail, + const std::string& context) const + { + std::string error_msg = "syntax error while parsing "; + + switch (format) + { + case input_format_t::cbor: + error_msg += "CBOR"; + break; + + case input_format_t::msgpack: + error_msg += "MessagePack"; + break; + + case input_format_t::ubjson: + error_msg += "UBJSON"; + break; + + case input_format_t::bson: + error_msg += "BSON"; + break; + + case input_format_t::json: // LCOV_EXCL_LINE + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + + return error_msg + " " + context + ": " + detail; + } + + private: + /// input adapter + InputAdapterType ia; + + /// the current character + char_int_type current = std::char_traits::eof(); + + /// the number of characters read + std::size_t chars_read = 0; + + /// whether we can assume little endianness + const bool is_little_endian = little_endianness(); + + /// the SAX parser + json_sax_t* sax = nullptr; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + +// #include + + +#include // isfinite +#include // uint8_t +#include // function +#include // string +#include // move +#include // vector + +// #include + +// #include + +// #include + +// #include + +// #include + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +//////////// +// parser // +//////////// + +enum class parse_event_t : std::uint8_t +{ + /// the parser read `{` and started to process a JSON object + object_start, + /// the parser read `}` and finished processing a JSON object + object_end, + /// the parser read `[` and started to process a JSON array + array_start, + /// the parser read `]` and finished processing a JSON array + array_end, + /// the parser read a key of a value in an object + key, + /// the parser finished reading a JSON value + value +}; + +template +using parser_callback_t = + std::function; + +/*! +@brief syntax analysis + +This class implements a recursive descent parser. +*/ +template +class parser +{ + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using lexer_t = lexer; + using token_type = typename lexer_t::token_type; + + public: + /// a parser reading from an input adapter + explicit parser(InputAdapterType&& adapter, + const parser_callback_t cb = nullptr, + const bool allow_exceptions_ = true, + const bool skip_comments = false) + : callback(cb) + , m_lexer(std::move(adapter), skip_comments) + , allow_exceptions(allow_exceptions_) + { + // read first token + get_token(); + } + + /*! + @brief public parser interface + + @param[in] strict whether to expect the last token to be EOF + @param[in,out] result parsed JSON value + + @throw parse_error.101 in case of an unexpected token + @throw parse_error.102 if to_unicode fails or surrogate error + @throw parse_error.103 if to_unicode fails + */ + void parse(const bool strict, BasicJsonType& result) + { + if (callback) + { + json_sax_dom_callback_parser sdp(result, callback, allow_exceptions); + sax_parse_internal(&sdp); + + // in strict mode, input must be completely read + if (strict && (get_token() != token_type::end_of_input)) + { + sdp.parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), + exception_message(token_type::end_of_input, "value"), BasicJsonType())); + } + + // in case of an error, return discarded value + if (sdp.is_errored()) + { + result = value_t::discarded; + return; + } + + // set top-level value to null if it was discarded by the callback + // function + if (result.is_discarded()) + { + result = nullptr; + } + } + else + { + json_sax_dom_parser sdp(result, allow_exceptions); + sax_parse_internal(&sdp); + + // in strict mode, input must be completely read + if (strict && (get_token() != token_type::end_of_input)) + { + sdp.parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::end_of_input, "value"), BasicJsonType())); + } + + // in case of an error, return discarded value + if (sdp.is_errored()) + { + result = value_t::discarded; + return; + } + } + + result.assert_invariant(); + } + + /*! + @brief public accept interface + + @param[in] strict whether to expect the last token to be EOF + @return whether the input is a proper JSON text + */ + bool accept(const bool strict = true) + { + json_sax_acceptor sax_acceptor; + return sax_parse(&sax_acceptor, strict); + } + + template + JSON_HEDLEY_NON_NULL(2) + bool sax_parse(SAX* sax, const bool strict = true) + { + (void)detail::is_sax_static_asserts {}; + const bool result = sax_parse_internal(sax); + + // strict mode: next byte must be EOF + if (result && strict && (get_token() != token_type::end_of_input)) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::end_of_input, "value"), BasicJsonType())); + } + + return result; + } + + private: + template + JSON_HEDLEY_NON_NULL(2) + bool sax_parse_internal(SAX* sax) + { + // stack to remember the hierarchy of structured values we are parsing + // true = array; false = object + std::vector states; + // value to avoid a goto (see comment where set to true) + bool skip_to_state_evaluation = false; + + while (true) + { + if (!skip_to_state_evaluation) + { + // invariant: get_token() was called before each iteration + switch (last_token) + { + case token_type::begin_object: + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(static_cast(-1)))) + { + return false; + } + + // closing } -> we are done + if (get_token() == token_type::end_object) + { + if (JSON_HEDLEY_UNLIKELY(!sax->end_object())) + { + return false; + } + break; + } + + // parse key + if (JSON_HEDLEY_UNLIKELY(last_token != token_type::value_string)) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::value_string, "object key"), BasicJsonType())); + } + if (JSON_HEDLEY_UNLIKELY(!sax->key(m_lexer.get_string()))) + { + return false; + } + + // parse separator (:) + if (JSON_HEDLEY_UNLIKELY(get_token() != token_type::name_separator)) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::name_separator, "object separator"), BasicJsonType())); + } + + // remember we are now inside an object + states.push_back(false); + + // parse values + get_token(); + continue; + } + + case token_type::begin_array: + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(static_cast(-1)))) + { + return false; + } + + // closing ] -> we are done + if (get_token() == token_type::end_array) + { + if (JSON_HEDLEY_UNLIKELY(!sax->end_array())) + { + return false; + } + break; + } + + // remember we are now inside an array + states.push_back(true); + + // parse values (no need to call get_token) + continue; + } + + case token_type::value_float: + { + const auto res = m_lexer.get_number_float(); + + if (JSON_HEDLEY_UNLIKELY(!std::isfinite(res))) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + out_of_range::create(406, "number overflow parsing '" + m_lexer.get_token_string() + "'", BasicJsonType())); + } + + if (JSON_HEDLEY_UNLIKELY(!sax->number_float(res, m_lexer.get_string()))) + { + return false; + } + + break; + } + + case token_type::literal_false: + { + if (JSON_HEDLEY_UNLIKELY(!sax->boolean(false))) + { + return false; + } + break; + } + + case token_type::literal_null: + { + if (JSON_HEDLEY_UNLIKELY(!sax->null())) + { + return false; + } + break; + } + + case token_type::literal_true: + { + if (JSON_HEDLEY_UNLIKELY(!sax->boolean(true))) + { + return false; + } + break; + } + + case token_type::value_integer: + { + if (JSON_HEDLEY_UNLIKELY(!sax->number_integer(m_lexer.get_number_integer()))) + { + return false; + } + break; + } + + case token_type::value_string: + { + if (JSON_HEDLEY_UNLIKELY(!sax->string(m_lexer.get_string()))) + { + return false; + } + break; + } + + case token_type::value_unsigned: + { + if (JSON_HEDLEY_UNLIKELY(!sax->number_unsigned(m_lexer.get_number_unsigned()))) + { + return false; + } + break; + } + + case token_type::parse_error: + { + // using "uninitialized" to avoid "expected" message + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::uninitialized, "value"), BasicJsonType())); + } + + case token_type::uninitialized: + case token_type::end_array: + case token_type::end_object: + case token_type::name_separator: + case token_type::value_separator: + case token_type::end_of_input: + case token_type::literal_or_value: + default: // the last token was unexpected + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::literal_or_value, "value"), BasicJsonType())); + } + } + } + else + { + skip_to_state_evaluation = false; + } + + // we reached this line after we successfully parsed a value + if (states.empty()) + { + // empty stack: we reached the end of the hierarchy: done + return true; + } + + if (states.back()) // array + { + // comma -> next value + if (get_token() == token_type::value_separator) + { + // parse a new value + get_token(); + continue; + } + + // closing ] + if (JSON_HEDLEY_LIKELY(last_token == token_type::end_array)) + { + if (JSON_HEDLEY_UNLIKELY(!sax->end_array())) + { + return false; + } + + // We are done with this array. Before we can parse a + // new value, we need to evaluate the new state first. + // By setting skip_to_state_evaluation to false, we + // are effectively jumping to the beginning of this if. + JSON_ASSERT(!states.empty()); + states.pop_back(); + skip_to_state_evaluation = true; + continue; + } + + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::end_array, "array"), BasicJsonType())); + } + + // states.back() is false -> object + + // comma -> next value + if (get_token() == token_type::value_separator) + { + // parse key + if (JSON_HEDLEY_UNLIKELY(get_token() != token_type::value_string)) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::value_string, "object key"), BasicJsonType())); + } + + if (JSON_HEDLEY_UNLIKELY(!sax->key(m_lexer.get_string()))) + { + return false; + } + + // parse separator (:) + if (JSON_HEDLEY_UNLIKELY(get_token() != token_type::name_separator)) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::name_separator, "object separator"), BasicJsonType())); + } + + // parse values + get_token(); + continue; + } + + // closing } + if (JSON_HEDLEY_LIKELY(last_token == token_type::end_object)) + { + if (JSON_HEDLEY_UNLIKELY(!sax->end_object())) + { + return false; + } + + // We are done with this object. Before we can parse a + // new value, we need to evaluate the new state first. + // By setting skip_to_state_evaluation to false, we + // are effectively jumping to the beginning of this if. + JSON_ASSERT(!states.empty()); + states.pop_back(); + skip_to_state_evaluation = true; + continue; + } + + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::end_object, "object"), BasicJsonType())); + } + } + + /// get next token from lexer + token_type get_token() + { + return last_token = m_lexer.scan(); + } + + std::string exception_message(const token_type expected, const std::string& context) + { + std::string error_msg = "syntax error "; + + if (!context.empty()) + { + error_msg += "while parsing " + context + " "; + } + + error_msg += "- "; + + if (last_token == token_type::parse_error) + { + error_msg += std::string(m_lexer.get_error_message()) + "; last read: '" + + m_lexer.get_token_string() + "'"; + } + else + { + error_msg += "unexpected " + std::string(lexer_t::token_type_name(last_token)); + } + + if (expected != token_type::uninitialized) + { + error_msg += "; expected " + std::string(lexer_t::token_type_name(expected)); + } + + return error_msg; + } + + private: + /// callback function + const parser_callback_t callback = nullptr; + /// the type of the last read token + token_type last_token = token_type::uninitialized; + /// the lexer + lexer_t m_lexer; + /// whether to throw exceptions in case of errors + const bool allow_exceptions = true; +}; + +} // namespace detail +} // namespace nlohmann + +// #include + + +// #include + + +#include // ptrdiff_t +#include // numeric_limits + +// #include + + +namespace nlohmann +{ +namespace detail +{ +/* +@brief an iterator for primitive JSON types + +This class models an iterator for primitive JSON types (boolean, number, +string). It's only purpose is to allow the iterator/const_iterator classes +to "iterate" over primitive values. Internally, the iterator is modeled by +a `difference_type` variable. Value begin_value (`0`) models the begin, +end_value (`1`) models past the end. +*/ +class primitive_iterator_t +{ + private: + using difference_type = std::ptrdiff_t; + static constexpr difference_type begin_value = 0; + static constexpr difference_type end_value = begin_value + 1; + + JSON_PRIVATE_UNLESS_TESTED: + /// iterator as signed integer type + difference_type m_it = (std::numeric_limits::min)(); + + public: + constexpr difference_type get_value() const noexcept + { + return m_it; + } + + /// set iterator to a defined beginning + void set_begin() noexcept + { + m_it = begin_value; + } + + /// set iterator to a defined past the end + void set_end() noexcept + { + m_it = end_value; + } + + /// return whether the iterator can be dereferenced + constexpr bool is_begin() const noexcept + { + return m_it == begin_value; + } + + /// return whether the iterator is at end + constexpr bool is_end() const noexcept + { + return m_it == end_value; + } + + friend constexpr bool operator==(primitive_iterator_t lhs, primitive_iterator_t rhs) noexcept + { + return lhs.m_it == rhs.m_it; + } + + friend constexpr bool operator<(primitive_iterator_t lhs, primitive_iterator_t rhs) noexcept + { + return lhs.m_it < rhs.m_it; + } + + primitive_iterator_t operator+(difference_type n) noexcept + { + auto result = *this; + result += n; + return result; + } + + friend constexpr difference_type operator-(primitive_iterator_t lhs, primitive_iterator_t rhs) noexcept + { + return lhs.m_it - rhs.m_it; + } + + primitive_iterator_t& operator++() noexcept + { + ++m_it; + return *this; + } + + primitive_iterator_t operator++(int)& noexcept // NOLINT(cert-dcl21-cpp) + { + auto result = *this; + ++m_it; + return result; + } + + primitive_iterator_t& operator--() noexcept + { + --m_it; + return *this; + } + + primitive_iterator_t operator--(int)& noexcept // NOLINT(cert-dcl21-cpp) + { + auto result = *this; + --m_it; + return result; + } + + primitive_iterator_t& operator+=(difference_type n) noexcept + { + m_it += n; + return *this; + } + + primitive_iterator_t& operator-=(difference_type n) noexcept + { + m_it -= n; + return *this; + } +}; +} // namespace detail +} // namespace nlohmann + + +namespace nlohmann +{ +namespace detail +{ +/*! +@brief an iterator value + +@note This structure could easily be a union, but MSVC currently does not allow +unions members with complex constructors, see https://github.com/nlohmann/json/pull/105. +*/ +template struct internal_iterator +{ + /// iterator for JSON objects + typename BasicJsonType::object_t::iterator object_iterator {}; + /// iterator for JSON arrays + typename BasicJsonType::array_t::iterator array_iterator {}; + /// generic iterator for all other types + primitive_iterator_t primitive_iterator {}; +}; +} // namespace detail +} // namespace nlohmann + +// #include + + +#include // iterator, random_access_iterator_tag, bidirectional_iterator_tag, advance, next +#include // conditional, is_const, remove_const + +// #include + +// #include + +// #include + +// #include + +// #include + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +// forward declare, to be able to friend it later on +template class iteration_proxy; +template class iteration_proxy_value; + +/*! +@brief a template for a bidirectional iterator for the @ref basic_json class +This class implements a both iterators (iterator and const_iterator) for the +@ref basic_json class. +@note An iterator is called *initialized* when a pointer to a JSON value has + been set (e.g., by a constructor or a copy assignment). If the iterator is + default-constructed, it is *uninitialized* and most methods are undefined. + **The library uses assertions to detect calls on uninitialized iterators.** +@requirement The class satisfies the following concept requirements: +- +[BidirectionalIterator](https://en.cppreference.com/w/cpp/named_req/BidirectionalIterator): + The iterator that can be moved can be moved in both directions (i.e. + incremented and decremented). +@since version 1.0.0, simplified in version 2.0.9, change to bidirectional + iterators in version 3.0.0 (see https://github.com/nlohmann/json/issues/593) +*/ +template +class iter_impl // NOLINT(cppcoreguidelines-special-member-functions,hicpp-special-member-functions) +{ + /// the iterator with BasicJsonType of different const-ness + using other_iter_impl = iter_impl::value, typename std::remove_const::type, const BasicJsonType>::type>; + /// allow basic_json to access private members + friend other_iter_impl; + friend BasicJsonType; + friend iteration_proxy; + friend iteration_proxy_value; + + using object_t = typename BasicJsonType::object_t; + using array_t = typename BasicJsonType::array_t; + // make sure BasicJsonType is basic_json or const basic_json + static_assert(is_basic_json::type>::value, + "iter_impl only accepts (const) basic_json"); + + public: + + /// The std::iterator class template (used as a base class to provide typedefs) is deprecated in C++17. + /// The C++ Standard has never required user-defined iterators to derive from std::iterator. + /// A user-defined iterator should provide publicly accessible typedefs named + /// iterator_category, value_type, difference_type, pointer, and reference. + /// Note that value_type is required to be non-const, even for constant iterators. + using iterator_category = std::bidirectional_iterator_tag; + + /// the type of the values when the iterator is dereferenced + using value_type = typename BasicJsonType::value_type; + /// a type to represent differences between iterators + using difference_type = typename BasicJsonType::difference_type; + /// defines a pointer to the type iterated over (value_type) + using pointer = typename std::conditional::value, + typename BasicJsonType::const_pointer, + typename BasicJsonType::pointer>::type; + /// defines a reference to the type iterated over (value_type) + using reference = + typename std::conditional::value, + typename BasicJsonType::const_reference, + typename BasicJsonType::reference>::type; + + iter_impl() = default; + ~iter_impl() = default; + iter_impl(iter_impl&&) noexcept = default; + iter_impl& operator=(iter_impl&&) noexcept = default; + + /*! + @brief constructor for a given JSON instance + @param[in] object pointer to a JSON object for this iterator + @pre object != nullptr + @post The iterator is initialized; i.e. `m_object != nullptr`. + */ + explicit iter_impl(pointer object) noexcept : m_object(object) + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + m_it.object_iterator = typename object_t::iterator(); + break; + } + + case value_t::array: + { + m_it.array_iterator = typename array_t::iterator(); + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + m_it.primitive_iterator = primitive_iterator_t(); + break; + } + } + } + + /*! + @note The conventional copy constructor and copy assignment are implicitly + defined. Combined with the following converting constructor and + assignment, they support: (1) copy from iterator to iterator, (2) + copy from const iterator to const iterator, and (3) conversion from + iterator to const iterator. However conversion from const iterator + to iterator is not defined. + */ + + /*! + @brief const copy constructor + @param[in] other const iterator to copy from + @note This copy constructor had to be defined explicitly to circumvent a bug + occurring on msvc v19.0 compiler (VS 2015) debug build. For more + information refer to: https://github.com/nlohmann/json/issues/1608 + */ + iter_impl(const iter_impl& other) noexcept + : m_object(other.m_object), m_it(other.m_it) + {} + + /*! + @brief converting assignment + @param[in] other const iterator to copy from + @return const/non-const iterator + @note It is not checked whether @a other is initialized. + */ + iter_impl& operator=(const iter_impl& other) noexcept + { + if (&other != this) + { + m_object = other.m_object; + m_it = other.m_it; + } + return *this; + } + + /*! + @brief converting constructor + @param[in] other non-const iterator to copy from + @note It is not checked whether @a other is initialized. + */ + iter_impl(const iter_impl::type>& other) noexcept + : m_object(other.m_object), m_it(other.m_it) + {} + + /*! + @brief converting assignment + @param[in] other non-const iterator to copy from + @return const/non-const iterator + @note It is not checked whether @a other is initialized. + */ + iter_impl& operator=(const iter_impl::type>& other) noexcept // NOLINT(cert-oop54-cpp) + { + m_object = other.m_object; + m_it = other.m_it; + return *this; + } + + JSON_PRIVATE_UNLESS_TESTED: + /*! + @brief set the iterator to the first value + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + void set_begin() noexcept + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + m_it.object_iterator = m_object->m_value.object->begin(); + break; + } + + case value_t::array: + { + m_it.array_iterator = m_object->m_value.array->begin(); + break; + } + + case value_t::null: + { + // set to end so begin()==end() is true: null is empty + m_it.primitive_iterator.set_end(); + break; + } + + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + m_it.primitive_iterator.set_begin(); + break; + } + } + } + + /*! + @brief set the iterator past the last value + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + void set_end() noexcept + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + m_it.object_iterator = m_object->m_value.object->end(); + break; + } + + case value_t::array: + { + m_it.array_iterator = m_object->m_value.array->end(); + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + m_it.primitive_iterator.set_end(); + break; + } + } + } + + public: + /*! + @brief return a reference to the value pointed to by the iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + reference operator*() const + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + JSON_ASSERT(m_it.object_iterator != m_object->m_value.object->end()); + return m_it.object_iterator->second; + } + + case value_t::array: + { + JSON_ASSERT(m_it.array_iterator != m_object->m_value.array->end()); + return *m_it.array_iterator; + } + + case value_t::null: + JSON_THROW(invalid_iterator::create(214, "cannot get value", *m_object)); + + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + if (JSON_HEDLEY_LIKELY(m_it.primitive_iterator.is_begin())) + { + return *m_object; + } + + JSON_THROW(invalid_iterator::create(214, "cannot get value", *m_object)); + } + } + } + + /*! + @brief dereference the iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + pointer operator->() const + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + JSON_ASSERT(m_it.object_iterator != m_object->m_value.object->end()); + return &(m_it.object_iterator->second); + } + + case value_t::array: + { + JSON_ASSERT(m_it.array_iterator != m_object->m_value.array->end()); + return &*m_it.array_iterator; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + if (JSON_HEDLEY_LIKELY(m_it.primitive_iterator.is_begin())) + { + return m_object; + } + + JSON_THROW(invalid_iterator::create(214, "cannot get value", *m_object)); + } + } + } + + /*! + @brief post-increment (it++) + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl operator++(int)& // NOLINT(cert-dcl21-cpp) + { + auto result = *this; + ++(*this); + return result; + } + + /*! + @brief pre-increment (++it) + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl& operator++() + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + std::advance(m_it.object_iterator, 1); + break; + } + + case value_t::array: + { + std::advance(m_it.array_iterator, 1); + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + ++m_it.primitive_iterator; + break; + } + } + + return *this; + } + + /*! + @brief post-decrement (it--) + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl operator--(int)& // NOLINT(cert-dcl21-cpp) + { + auto result = *this; + --(*this); + return result; + } + + /*! + @brief pre-decrement (--it) + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl& operator--() + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + std::advance(m_it.object_iterator, -1); + break; + } + + case value_t::array: + { + std::advance(m_it.array_iterator, -1); + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + --m_it.primitive_iterator; + break; + } + } + + return *this; + } + + /*! + @brief comparison: equal + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + template < typename IterImpl, detail::enable_if_t < (std::is_same::value || std::is_same::value), std::nullptr_t > = nullptr > + bool operator==(const IterImpl& other) const + { + // if objects are not the same, the comparison is undefined + if (JSON_HEDLEY_UNLIKELY(m_object != other.m_object)) + { + JSON_THROW(invalid_iterator::create(212, "cannot compare iterators of different containers", *m_object)); + } + + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + return (m_it.object_iterator == other.m_it.object_iterator); + + case value_t::array: + return (m_it.array_iterator == other.m_it.array_iterator); + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + return (m_it.primitive_iterator == other.m_it.primitive_iterator); + } + } + + /*! + @brief comparison: not equal + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + template < typename IterImpl, detail::enable_if_t < (std::is_same::value || std::is_same::value), std::nullptr_t > = nullptr > + bool operator!=(const IterImpl& other) const + { + return !operator==(other); + } + + /*! + @brief comparison: smaller + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + bool operator<(const iter_impl& other) const + { + // if objects are not the same, the comparison is undefined + if (JSON_HEDLEY_UNLIKELY(m_object != other.m_object)) + { + JSON_THROW(invalid_iterator::create(212, "cannot compare iterators of different containers", *m_object)); + } + + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + JSON_THROW(invalid_iterator::create(213, "cannot compare order of object iterators", *m_object)); + + case value_t::array: + return (m_it.array_iterator < other.m_it.array_iterator); + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + return (m_it.primitive_iterator < other.m_it.primitive_iterator); + } + } + + /*! + @brief comparison: less than or equal + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + bool operator<=(const iter_impl& other) const + { + return !other.operator < (*this); + } + + /*! + @brief comparison: greater than + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + bool operator>(const iter_impl& other) const + { + return !operator<=(other); + } + + /*! + @brief comparison: greater than or equal + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + bool operator>=(const iter_impl& other) const + { + return !operator<(other); + } + + /*! + @brief add to iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl& operator+=(difference_type i) + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + JSON_THROW(invalid_iterator::create(209, "cannot use offsets with object iterators", *m_object)); + + case value_t::array: + { + std::advance(m_it.array_iterator, i); + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + m_it.primitive_iterator += i; + break; + } + } + + return *this; + } + + /*! + @brief subtract from iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl& operator-=(difference_type i) + { + return operator+=(-i); + } + + /*! + @brief add to iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl operator+(difference_type i) const + { + auto result = *this; + result += i; + return result; + } + + /*! + @brief addition of distance and iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + friend iter_impl operator+(difference_type i, const iter_impl& it) + { + auto result = it; + result += i; + return result; + } + + /*! + @brief subtract from iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl operator-(difference_type i) const + { + auto result = *this; + result -= i; + return result; + } + + /*! + @brief return difference + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + difference_type operator-(const iter_impl& other) const + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + JSON_THROW(invalid_iterator::create(209, "cannot use offsets with object iterators", *m_object)); + + case value_t::array: + return m_it.array_iterator - other.m_it.array_iterator; + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + return m_it.primitive_iterator - other.m_it.primitive_iterator; + } + } + + /*! + @brief access to successor + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + reference operator[](difference_type n) const + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + JSON_THROW(invalid_iterator::create(208, "cannot use operator[] for object iterators", *m_object)); + + case value_t::array: + return *std::next(m_it.array_iterator, n); + + case value_t::null: + JSON_THROW(invalid_iterator::create(214, "cannot get value", *m_object)); + + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + if (JSON_HEDLEY_LIKELY(m_it.primitive_iterator.get_value() == -n)) + { + return *m_object; + } + + JSON_THROW(invalid_iterator::create(214, "cannot get value", *m_object)); + } + } + } + + /*! + @brief return the key of an object iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + const typename object_t::key_type& key() const + { + JSON_ASSERT(m_object != nullptr); + + if (JSON_HEDLEY_LIKELY(m_object->is_object())) + { + return m_it.object_iterator->first; + } + + JSON_THROW(invalid_iterator::create(207, "cannot use key() for non-object iterators", *m_object)); + } + + /*! + @brief return the value of an iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + reference value() const + { + return operator*(); + } + + JSON_PRIVATE_UNLESS_TESTED: + /// associated JSON instance + pointer m_object = nullptr; + /// the actual iterator of the associated instance + internal_iterator::type> m_it {}; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +#include // ptrdiff_t +#include // reverse_iterator +#include // declval + +namespace nlohmann +{ +namespace detail +{ +////////////////////// +// reverse_iterator // +////////////////////// + +/*! +@brief a template for a reverse iterator class + +@tparam Base the base iterator type to reverse. Valid types are @ref +iterator (to create @ref reverse_iterator) and @ref const_iterator (to +create @ref const_reverse_iterator). + +@requirement The class satisfies the following concept requirements: +- +[BidirectionalIterator](https://en.cppreference.com/w/cpp/named_req/BidirectionalIterator): + The iterator that can be moved can be moved in both directions (i.e. + incremented and decremented). +- [OutputIterator](https://en.cppreference.com/w/cpp/named_req/OutputIterator): + It is possible to write to the pointed-to element (only if @a Base is + @ref iterator). + +@since version 1.0.0 +*/ +template +class json_reverse_iterator : public std::reverse_iterator +{ + public: + using difference_type = std::ptrdiff_t; + /// shortcut to the reverse iterator adapter + using base_iterator = std::reverse_iterator; + /// the reference type for the pointed-to element + using reference = typename Base::reference; + + /// create reverse iterator from iterator + explicit json_reverse_iterator(const typename base_iterator::iterator_type& it) noexcept + : base_iterator(it) {} + + /// create reverse iterator from base class + explicit json_reverse_iterator(const base_iterator& it) noexcept : base_iterator(it) {} + + /// post-increment (it++) + json_reverse_iterator operator++(int)& // NOLINT(cert-dcl21-cpp) + { + return static_cast(base_iterator::operator++(1)); + } + + /// pre-increment (++it) + json_reverse_iterator& operator++() + { + return static_cast(base_iterator::operator++()); + } + + /// post-decrement (it--) + json_reverse_iterator operator--(int)& // NOLINT(cert-dcl21-cpp) + { + return static_cast(base_iterator::operator--(1)); + } + + /// pre-decrement (--it) + json_reverse_iterator& operator--() + { + return static_cast(base_iterator::operator--()); + } + + /// add to iterator + json_reverse_iterator& operator+=(difference_type i) + { + return static_cast(base_iterator::operator+=(i)); + } + + /// add to iterator + json_reverse_iterator operator+(difference_type i) const + { + return static_cast(base_iterator::operator+(i)); + } + + /// subtract from iterator + json_reverse_iterator operator-(difference_type i) const + { + return static_cast(base_iterator::operator-(i)); + } + + /// return difference + difference_type operator-(const json_reverse_iterator& other) const + { + return base_iterator(*this) - base_iterator(other); + } + + /// access to successor + reference operator[](difference_type n) const + { + return *(this->operator+(n)); + } + + /// return the key of an object iterator + auto key() const -> decltype(std::declval().key()) + { + auto it = --this->base(); + return it.key(); + } + + /// return the value of an iterator + reference value() const + { + auto it = --this->base(); + return it.operator * (); + } +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +#include // all_of +#include // isdigit +#include // max +#include // accumulate +#include // string +#include // move +#include // vector + +// #include + +// #include + +// #include + +// #include + + +namespace nlohmann +{ + +/// @brief JSON Pointer defines a string syntax for identifying a specific value within a JSON document +/// @sa https://json.nlohmann.me/api/json_pointer/ +template +class json_pointer +{ + // allow basic_json to access private members + NLOHMANN_BASIC_JSON_TPL_DECLARATION + friend class basic_json; + + public: + /// @brief create JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/json_pointer/ + explicit json_pointer(const std::string& s = "") + : reference_tokens(split(s)) + {} + + /// @brief return a string representation of the JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/to_string/ + std::string to_string() const + { + return std::accumulate(reference_tokens.begin(), reference_tokens.end(), + std::string{}, + [](const std::string & a, const std::string & b) + { + return a + "/" + detail::escape(b); + }); + } + + /// @brief return a string representation of the JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_string/ + operator std::string() const + { + return to_string(); + } + + /// @brief append another JSON pointer at the end of this JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slasheq/ + json_pointer& operator/=(const json_pointer& ptr) + { + reference_tokens.insert(reference_tokens.end(), + ptr.reference_tokens.begin(), + ptr.reference_tokens.end()); + return *this; + } + + /// @brief append an unescaped reference token at the end of this JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slasheq/ + json_pointer& operator/=(std::string token) + { + push_back(std::move(token)); + return *this; + } + + /// @brief append an array index at the end of this JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slasheq/ + json_pointer& operator/=(std::size_t array_idx) + { + return *this /= std::to_string(array_idx); + } + + /// @brief create a new JSON pointer by appending the right JSON pointer at the end of the left JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slash/ + friend json_pointer operator/(const json_pointer& lhs, + const json_pointer& rhs) + { + return json_pointer(lhs) /= rhs; + } + + /// @brief create a new JSON pointer by appending the unescaped token at the end of the JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slash/ + friend json_pointer operator/(const json_pointer& lhs, std::string token) // NOLINT(performance-unnecessary-value-param) + { + return json_pointer(lhs) /= std::move(token); + } + + /// @brief create a new JSON pointer by appending the array-index-token at the end of the JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slash/ + friend json_pointer operator/(const json_pointer& lhs, std::size_t array_idx) + { + return json_pointer(lhs) /= array_idx; + } + + /// @brief returns the parent of this JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/parent_pointer/ + json_pointer parent_pointer() const + { + if (empty()) + { + return *this; + } + + json_pointer res = *this; + res.pop_back(); + return res; + } + + /// @brief remove last reference token + /// @sa https://json.nlohmann.me/api/json_pointer/pop_back/ + void pop_back() + { + if (JSON_HEDLEY_UNLIKELY(empty())) + { + JSON_THROW(detail::out_of_range::create(405, "JSON pointer has no parent", BasicJsonType())); + } + + reference_tokens.pop_back(); + } + + /// @brief return last reference token + /// @sa https://json.nlohmann.me/api/json_pointer/back/ + const std::string& back() const + { + if (JSON_HEDLEY_UNLIKELY(empty())) + { + JSON_THROW(detail::out_of_range::create(405, "JSON pointer has no parent", BasicJsonType())); + } + + return reference_tokens.back(); + } + + /// @brief append an unescaped token at the end of the reference pointer + /// @sa https://json.nlohmann.me/api/json_pointer/push_back/ + void push_back(const std::string& token) + { + reference_tokens.push_back(token); + } + + /// @brief append an unescaped token at the end of the reference pointer + /// @sa https://json.nlohmann.me/api/json_pointer/push_back/ + void push_back(std::string&& token) + { + reference_tokens.push_back(std::move(token)); + } + + /// @brief return whether pointer points to the root document + /// @sa https://json.nlohmann.me/api/json_pointer/empty/ + bool empty() const noexcept + { + return reference_tokens.empty(); + } + + private: + /*! + @param[in] s reference token to be converted into an array index + + @return integer representation of @a s + + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index begins not with a digit + @throw out_of_range.404 if string @a s could not be converted to an integer + @throw out_of_range.410 if an array index exceeds size_type + */ + static typename BasicJsonType::size_type array_index(const std::string& s) + { + using size_type = typename BasicJsonType::size_type; + + // error condition (cf. RFC 6901, Sect. 4) + if (JSON_HEDLEY_UNLIKELY(s.size() > 1 && s[0] == '0')) + { + JSON_THROW(detail::parse_error::create(106, 0, "array index '" + s + "' must not begin with '0'", BasicJsonType())); + } + + // error condition (cf. RFC 6901, Sect. 4) + if (JSON_HEDLEY_UNLIKELY(s.size() > 1 && !(s[0] >= '1' && s[0] <= '9'))) + { + JSON_THROW(detail::parse_error::create(109, 0, "array index '" + s + "' is not a number", BasicJsonType())); + } + + std::size_t processed_chars = 0; + unsigned long long res = 0; // NOLINT(runtime/int) + JSON_TRY + { + res = std::stoull(s, &processed_chars); + } + JSON_CATCH(std::out_of_range&) + { + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + s + "'", BasicJsonType())); + } + + // check if the string was completely read + if (JSON_HEDLEY_UNLIKELY(processed_chars != s.size())) + { + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + s + "'", BasicJsonType())); + } + + // only triggered on special platforms (like 32bit), see also + // https://github.com/nlohmann/json/pull/2203 + if (res >= static_cast((std::numeric_limits::max)())) // NOLINT(runtime/int) + { + JSON_THROW(detail::out_of_range::create(410, "array index " + s + " exceeds size_type", BasicJsonType())); // LCOV_EXCL_LINE + } + + return static_cast(res); + } + + JSON_PRIVATE_UNLESS_TESTED: + json_pointer top() const + { + if (JSON_HEDLEY_UNLIKELY(empty())) + { + JSON_THROW(detail::out_of_range::create(405, "JSON pointer has no parent", BasicJsonType())); + } + + json_pointer result = *this; + result.reference_tokens = {reference_tokens[0]}; + return result; + } + + private: + /*! + @brief create and return a reference to the pointed to value + + @complexity Linear in the number of reference tokens. + + @throw parse_error.109 if array index is not a number + @throw type_error.313 if value cannot be unflattened + */ + BasicJsonType& get_and_create(BasicJsonType& j) const + { + auto* result = &j; + + // in case no reference tokens exist, return a reference to the JSON value + // j which will be overwritten by a primitive value + for (const auto& reference_token : reference_tokens) + { + switch (result->type()) + { + case detail::value_t::null: + { + if (reference_token == "0") + { + // start a new array if reference token is 0 + result = &result->operator[](0); + } + else + { + // start a new object otherwise + result = &result->operator[](reference_token); + } + break; + } + + case detail::value_t::object: + { + // create an entry in the object + result = &result->operator[](reference_token); + break; + } + + case detail::value_t::array: + { + // create an entry in the array + result = &result->operator[](array_index(reference_token)); + break; + } + + /* + The following code is only reached if there exists a reference + token _and_ the current value is primitive. In this case, we have + an error situation, because primitive values may only occur as + single value; that is, with an empty list of reference tokens. + */ + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + JSON_THROW(detail::type_error::create(313, "invalid value to unflatten", j)); + } + } + + return *result; + } + + /*! + @brief return a reference to the pointed to value + + @note This version does not throw if a value is not present, but tries to + create nested values instead. For instance, calling this function + with pointer `"/this/that"` on a null value is equivalent to calling + `operator[]("this").operator[]("that")` on that value, effectively + changing the null value to an object. + + @param[in] ptr a JSON value + + @return reference to the JSON value pointed to by the JSON pointer + + @complexity Linear in the length of the JSON pointer. + + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index was not a number + @throw out_of_range.404 if the JSON pointer can not be resolved + */ + BasicJsonType& get_unchecked(BasicJsonType* ptr) const + { + for (const auto& reference_token : reference_tokens) + { + // convert null values to arrays or objects before continuing + if (ptr->is_null()) + { + // check if reference token is a number + const bool nums = + std::all_of(reference_token.begin(), reference_token.end(), + [](const unsigned char x) + { + return std::isdigit(x); + }); + + // change value to array for numbers or "-" or to object otherwise + *ptr = (nums || reference_token == "-") + ? detail::value_t::array + : detail::value_t::object; + } + + switch (ptr->type()) + { + case detail::value_t::object: + { + // use unchecked object access + ptr = &ptr->operator[](reference_token); + break; + } + + case detail::value_t::array: + { + if (reference_token == "-") + { + // explicitly treat "-" as index beyond the end + ptr = &ptr->operator[](ptr->m_value.array->size()); + } + else + { + // convert array index to number; unchecked access + ptr = &ptr->operator[](array_index(reference_token)); + } + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + reference_token + "'", *ptr)); + } + } + + return *ptr; + } + + /*! + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index was not a number + @throw out_of_range.402 if the array index '-' is used + @throw out_of_range.404 if the JSON pointer can not be resolved + */ + BasicJsonType& get_checked(BasicJsonType* ptr) const + { + for (const auto& reference_token : reference_tokens) + { + switch (ptr->type()) + { + case detail::value_t::object: + { + // note: at performs range check + ptr = &ptr->at(reference_token); + break; + } + + case detail::value_t::array: + { + if (JSON_HEDLEY_UNLIKELY(reference_token == "-")) + { + // "-" always fails the range check + JSON_THROW(detail::out_of_range::create(402, + "array index '-' (" + std::to_string(ptr->m_value.array->size()) + + ") is out of range", *ptr)); + } + + // note: at performs range check + ptr = &ptr->at(array_index(reference_token)); + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + reference_token + "'", *ptr)); + } + } + + return *ptr; + } + + /*! + @brief return a const reference to the pointed to value + + @param[in] ptr a JSON value + + @return const reference to the JSON value pointed to by the JSON + pointer + + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index was not a number + @throw out_of_range.402 if the array index '-' is used + @throw out_of_range.404 if the JSON pointer can not be resolved + */ + const BasicJsonType& get_unchecked(const BasicJsonType* ptr) const + { + for (const auto& reference_token : reference_tokens) + { + switch (ptr->type()) + { + case detail::value_t::object: + { + // use unchecked object access + ptr = &ptr->operator[](reference_token); + break; + } + + case detail::value_t::array: + { + if (JSON_HEDLEY_UNLIKELY(reference_token == "-")) + { + // "-" cannot be used for const access + JSON_THROW(detail::out_of_range::create(402, "array index '-' (" + std::to_string(ptr->m_value.array->size()) + ") is out of range", *ptr)); + } + + // use unchecked array access + ptr = &ptr->operator[](array_index(reference_token)); + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + reference_token + "'", *ptr)); + } + } + + return *ptr; + } + + /*! + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index was not a number + @throw out_of_range.402 if the array index '-' is used + @throw out_of_range.404 if the JSON pointer can not be resolved + */ + const BasicJsonType& get_checked(const BasicJsonType* ptr) const + { + for (const auto& reference_token : reference_tokens) + { + switch (ptr->type()) + { + case detail::value_t::object: + { + // note: at performs range check + ptr = &ptr->at(reference_token); + break; + } + + case detail::value_t::array: + { + if (JSON_HEDLEY_UNLIKELY(reference_token == "-")) + { + // "-" always fails the range check + JSON_THROW(detail::out_of_range::create(402, + "array index '-' (" + std::to_string(ptr->m_value.array->size()) + + ") is out of range", *ptr)); + } + + // note: at performs range check + ptr = &ptr->at(array_index(reference_token)); + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + reference_token + "'", *ptr)); + } + } + + return *ptr; + } + + /*! + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index was not a number + */ + bool contains(const BasicJsonType* ptr) const + { + for (const auto& reference_token : reference_tokens) + { + switch (ptr->type()) + { + case detail::value_t::object: + { + if (!ptr->contains(reference_token)) + { + // we did not find the key in the object + return false; + } + + ptr = &ptr->operator[](reference_token); + break; + } + + case detail::value_t::array: + { + if (JSON_HEDLEY_UNLIKELY(reference_token == "-")) + { + // "-" always fails the range check + return false; + } + if (JSON_HEDLEY_UNLIKELY(reference_token.size() == 1 && !("0" <= reference_token && reference_token <= "9"))) + { + // invalid char + return false; + } + if (JSON_HEDLEY_UNLIKELY(reference_token.size() > 1)) + { + if (JSON_HEDLEY_UNLIKELY(!('1' <= reference_token[0] && reference_token[0] <= '9'))) + { + // first char should be between '1' and '9' + return false; + } + for (std::size_t i = 1; i < reference_token.size(); i++) + { + if (JSON_HEDLEY_UNLIKELY(!('0' <= reference_token[i] && reference_token[i] <= '9'))) + { + // other char should be between '0' and '9' + return false; + } + } + } + + const auto idx = array_index(reference_token); + if (idx >= ptr->size()) + { + // index out of range + return false; + } + + ptr = &ptr->operator[](idx); + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + { + // we do not expect primitive values if there is still a + // reference token to process + return false; + } + } + } + + // no reference token left means we found a primitive value + return true; + } + + /*! + @brief split the string input to reference tokens + + @note This function is only called by the json_pointer constructor. + All exceptions below are documented there. + + @throw parse_error.107 if the pointer is not empty or begins with '/' + @throw parse_error.108 if character '~' is not followed by '0' or '1' + */ + static std::vector split(const std::string& reference_string) + { + std::vector result; + + // special case: empty reference string -> no reference tokens + if (reference_string.empty()) + { + return result; + } + + // check if nonempty reference string begins with slash + if (JSON_HEDLEY_UNLIKELY(reference_string[0] != '/')) + { + JSON_THROW(detail::parse_error::create(107, 1, "JSON pointer must be empty or begin with '/' - was: '" + reference_string + "'", BasicJsonType())); + } + + // extract the reference tokens: + // - slash: position of the last read slash (or end of string) + // - start: position after the previous slash + for ( + // search for the first slash after the first character + std::size_t slash = reference_string.find_first_of('/', 1), + // set the beginning of the first reference token + start = 1; + // we can stop if start == 0 (if slash == std::string::npos) + start != 0; + // set the beginning of the next reference token + // (will eventually be 0 if slash == std::string::npos) + start = (slash == std::string::npos) ? 0 : slash + 1, + // find next slash + slash = reference_string.find_first_of('/', start)) + { + // use the text between the beginning of the reference token + // (start) and the last slash (slash). + auto reference_token = reference_string.substr(start, slash - start); + + // check reference tokens are properly escaped + for (std::size_t pos = reference_token.find_first_of('~'); + pos != std::string::npos; + pos = reference_token.find_first_of('~', pos + 1)) + { + JSON_ASSERT(reference_token[pos] == '~'); + + // ~ must be followed by 0 or 1 + if (JSON_HEDLEY_UNLIKELY(pos == reference_token.size() - 1 || + (reference_token[pos + 1] != '0' && + reference_token[pos + 1] != '1'))) + { + JSON_THROW(detail::parse_error::create(108, 0, "escape character '~' must be followed with '0' or '1'", BasicJsonType())); + } + } + + // finally, store the reference token + detail::unescape(reference_token); + result.push_back(reference_token); + } + + return result; + } + + private: + /*! + @param[in] reference_string the reference string to the current value + @param[in] value the value to consider + @param[in,out] result the result object to insert values to + + @note Empty objects or arrays are flattened to `null`. + */ + static void flatten(const std::string& reference_string, + const BasicJsonType& value, + BasicJsonType& result) + { + switch (value.type()) + { + case detail::value_t::array: + { + if (value.m_value.array->empty()) + { + // flatten empty array as null + result[reference_string] = nullptr; + } + else + { + // iterate array and use index as reference string + for (std::size_t i = 0; i < value.m_value.array->size(); ++i) + { + flatten(reference_string + "/" + std::to_string(i), + value.m_value.array->operator[](i), result); + } + } + break; + } + + case detail::value_t::object: + { + if (value.m_value.object->empty()) + { + // flatten empty object as null + result[reference_string] = nullptr; + } + else + { + // iterate object and use keys as reference string + for (const auto& element : *value.m_value.object) + { + flatten(reference_string + "/" + detail::escape(element.first), element.second, result); + } + } + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + { + // add primitive value with its reference string + result[reference_string] = value; + break; + } + } + } + + /*! + @param[in] value flattened JSON + + @return unflattened JSON + + @throw parse_error.109 if array index is not a number + @throw type_error.314 if value is not an object + @throw type_error.315 if object values are not primitive + @throw type_error.313 if value cannot be unflattened + */ + static BasicJsonType + unflatten(const BasicJsonType& value) + { + if (JSON_HEDLEY_UNLIKELY(!value.is_object())) + { + JSON_THROW(detail::type_error::create(314, "only objects can be unflattened", value)); + } + + BasicJsonType result; + + // iterate the JSON object values + for (const auto& element : *value.m_value.object) + { + if (JSON_HEDLEY_UNLIKELY(!element.second.is_primitive())) + { + JSON_THROW(detail::type_error::create(315, "values in object must be primitive", element.second)); + } + + // assign value to reference pointed to by JSON pointer; Note that if + // the JSON pointer is "" (i.e., points to the whole value), function + // get_and_create returns a reference to result itself. An assignment + // will then create a primitive value. + json_pointer(element.first).get_and_create(result) = element.second; + } + + return result; + } + + /*! + @brief compares two JSON pointers for equality + + @param[in] lhs JSON pointer to compare + @param[in] rhs JSON pointer to compare + @return whether @a lhs is equal to @a rhs + + @complexity Linear in the length of the JSON pointer + + @exceptionsafety No-throw guarantee: this function never throws exceptions. + */ + friend bool operator==(json_pointer const& lhs, + json_pointer const& rhs) noexcept + { + return lhs.reference_tokens == rhs.reference_tokens; + } + + /*! + @brief compares two JSON pointers for inequality + + @param[in] lhs JSON pointer to compare + @param[in] rhs JSON pointer to compare + @return whether @a lhs is not equal @a rhs + + @complexity Linear in the length of the JSON pointer + + @exceptionsafety No-throw guarantee: this function never throws exceptions. + */ + friend bool operator!=(json_pointer const& lhs, + json_pointer const& rhs) noexcept + { + return !(lhs == rhs); + } + + /// the reference tokens + std::vector reference_tokens; +}; +} // namespace nlohmann + +// #include + + +#include +#include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +template +class json_ref +{ + public: + using value_type = BasicJsonType; + + json_ref(value_type&& value) + : owned_value(std::move(value)) + {} + + json_ref(const value_type& value) + : value_ref(&value) + {} + + json_ref(std::initializer_list init) + : owned_value(init) + {} + + template < + class... Args, + enable_if_t::value, int> = 0 > + json_ref(Args && ... args) + : owned_value(std::forward(args)...) + {} + + // class should be movable only + json_ref(json_ref&&) noexcept = default; + json_ref(const json_ref&) = delete; + json_ref& operator=(const json_ref&) = delete; + json_ref& operator=(json_ref&&) = delete; + ~json_ref() = default; + + value_type moved_or_copied() const + { + if (value_ref == nullptr) + { + return std::move(owned_value); + } + return *value_ref; + } + + value_type const& operator*() const + { + return value_ref ? *value_ref : owned_value; + } + + value_type const* operator->() const + { + return &** this; + } + + private: + mutable value_type owned_value = nullptr; + value_type const* value_ref = nullptr; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + +// #include + +// #include + +// #include + + +#include // reverse +#include // array +#include // isnan, isinf +#include // uint8_t, uint16_t, uint32_t, uint64_t +#include // memcpy +#include // numeric_limits +#include // string +#include // move + +// #include + +// #include + +// #include + + +#include // copy +#include // size_t +#include // back_inserter +#include // shared_ptr, make_shared +#include // basic_string +#include // vector + +#ifndef JSON_NO_IO + #include // streamsize + #include // basic_ostream +#endif // JSON_NO_IO + +// #include + + +namespace nlohmann +{ +namespace detail +{ +/// abstract output adapter interface +template struct output_adapter_protocol +{ + virtual void write_character(CharType c) = 0; + virtual void write_characters(const CharType* s, std::size_t length) = 0; + virtual ~output_adapter_protocol() = default; + + output_adapter_protocol() = default; + output_adapter_protocol(const output_adapter_protocol&) = default; + output_adapter_protocol(output_adapter_protocol&&) noexcept = default; + output_adapter_protocol& operator=(const output_adapter_protocol&) = default; + output_adapter_protocol& operator=(output_adapter_protocol&&) noexcept = default; +}; + +/// a type to simplify interfaces +template +using output_adapter_t = std::shared_ptr>; + +/// output adapter for byte vectors +template> +class output_vector_adapter : public output_adapter_protocol +{ + public: + explicit output_vector_adapter(std::vector& vec) noexcept + : v(vec) + {} + + void write_character(CharType c) override + { + v.push_back(c); + } + + JSON_HEDLEY_NON_NULL(2) + void write_characters(const CharType* s, std::size_t length) override + { + std::copy(s, s + length, std::back_inserter(v)); + } + + private: + std::vector& v; +}; + +#ifndef JSON_NO_IO +/// output adapter for output streams +template +class output_stream_adapter : public output_adapter_protocol +{ + public: + explicit output_stream_adapter(std::basic_ostream& s) noexcept + : stream(s) + {} + + void write_character(CharType c) override + { + stream.put(c); + } + + JSON_HEDLEY_NON_NULL(2) + void write_characters(const CharType* s, std::size_t length) override + { + stream.write(s, static_cast(length)); + } + + private: + std::basic_ostream& stream; +}; +#endif // JSON_NO_IO + +/// output adapter for basic_string +template> +class output_string_adapter : public output_adapter_protocol +{ + public: + explicit output_string_adapter(StringType& s) noexcept + : str(s) + {} + + void write_character(CharType c) override + { + str.push_back(c); + } + + JSON_HEDLEY_NON_NULL(2) + void write_characters(const CharType* s, std::size_t length) override + { + str.append(s, length); + } + + private: + StringType& str; +}; + +template> +class output_adapter +{ + public: + template> + output_adapter(std::vector& vec) + : oa(std::make_shared>(vec)) {} + +#ifndef JSON_NO_IO + output_adapter(std::basic_ostream& s) + : oa(std::make_shared>(s)) {} +#endif // JSON_NO_IO + + output_adapter(StringType& s) + : oa(std::make_shared>(s)) {} + + operator output_adapter_t() + { + return oa; + } + + private: + output_adapter_t oa = nullptr; +}; +} // namespace detail +} // namespace nlohmann + + +namespace nlohmann +{ +namespace detail +{ +/////////////////// +// binary writer // +/////////////////// + +/*! +@brief serialization to CBOR and MessagePack values +*/ +template +class binary_writer +{ + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + using number_float_t = typename BasicJsonType::number_float_t; + + public: + /*! + @brief create a binary writer + + @param[in] adapter output adapter to write to + */ + explicit binary_writer(output_adapter_t adapter) : oa(std::move(adapter)) + { + JSON_ASSERT(oa); + } + + /*! + @param[in] j JSON value to serialize + @pre j.type() == value_t::object + */ + void write_bson(const BasicJsonType& j) + { + switch (j.type()) + { + case value_t::object: + { + write_bson_object(*j.m_value.object); + break; + } + + case value_t::null: + case value_t::array: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + JSON_THROW(type_error::create(317, "to serialize to BSON, top-level type must be object, but is " + std::string(j.type_name()), j)); + } + } + } + + /*! + @param[in] j JSON value to serialize + */ + void write_cbor(const BasicJsonType& j) + { + switch (j.type()) + { + case value_t::null: + { + oa->write_character(to_char_type(0xF6)); + break; + } + + case value_t::boolean: + { + oa->write_character(j.m_value.boolean + ? to_char_type(0xF5) + : to_char_type(0xF4)); + break; + } + + case value_t::number_integer: + { + if (j.m_value.number_integer >= 0) + { + // CBOR does not differentiate between positive signed + // integers and unsigned integers. Therefore, we used the + // code from the value_t::number_unsigned case here. + if (j.m_value.number_integer <= 0x17) + { + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x18)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x19)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x1A)); + write_number(static_cast(j.m_value.number_integer)); + } + else + { + oa->write_character(to_char_type(0x1B)); + write_number(static_cast(j.m_value.number_integer)); + } + } + else + { + // The conversions below encode the sign in the first + // byte, and the value is converted to a positive number. + const auto positive_number = -1 - j.m_value.number_integer; + if (j.m_value.number_integer >= -24) + { + write_number(static_cast(0x20 + positive_number)); + } + else if (positive_number <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x38)); + write_number(static_cast(positive_number)); + } + else if (positive_number <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x39)); + write_number(static_cast(positive_number)); + } + else if (positive_number <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x3A)); + write_number(static_cast(positive_number)); + } + else + { + oa->write_character(to_char_type(0x3B)); + write_number(static_cast(positive_number)); + } + } + break; + } + + case value_t::number_unsigned: + { + if (j.m_value.number_unsigned <= 0x17) + { + write_number(static_cast(j.m_value.number_unsigned)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x18)); + write_number(static_cast(j.m_value.number_unsigned)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x19)); + write_number(static_cast(j.m_value.number_unsigned)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x1A)); + write_number(static_cast(j.m_value.number_unsigned)); + } + else + { + oa->write_character(to_char_type(0x1B)); + write_number(static_cast(j.m_value.number_unsigned)); + } + break; + } + + case value_t::number_float: + { + if (std::isnan(j.m_value.number_float)) + { + // NaN is 0xf97e00 in CBOR + oa->write_character(to_char_type(0xF9)); + oa->write_character(to_char_type(0x7E)); + oa->write_character(to_char_type(0x00)); + } + else if (std::isinf(j.m_value.number_float)) + { + // Infinity is 0xf97c00, -Infinity is 0xf9fc00 + oa->write_character(to_char_type(0xf9)); + oa->write_character(j.m_value.number_float > 0 ? to_char_type(0x7C) : to_char_type(0xFC)); + oa->write_character(to_char_type(0x00)); + } + else + { + write_compact_float(j.m_value.number_float, detail::input_format_t::cbor); + } + break; + } + + case value_t::string: + { + // step 1: write control byte and the string length + const auto N = j.m_value.string->size(); + if (N <= 0x17) + { + write_number(static_cast(0x60 + N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x78)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x79)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x7A)); + write_number(static_cast(N)); + } + // LCOV_EXCL_START + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x7B)); + write_number(static_cast(N)); + } + // LCOV_EXCL_STOP + + // step 2: write the string + oa->write_characters( + reinterpret_cast(j.m_value.string->c_str()), + j.m_value.string->size()); + break; + } + + case value_t::array: + { + // step 1: write control byte and the array size + const auto N = j.m_value.array->size(); + if (N <= 0x17) + { + write_number(static_cast(0x80 + N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x98)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x99)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x9A)); + write_number(static_cast(N)); + } + // LCOV_EXCL_START + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x9B)); + write_number(static_cast(N)); + } + // LCOV_EXCL_STOP + + // step 2: write each element + for (const auto& el : *j.m_value.array) + { + write_cbor(el); + } + break; + } + + case value_t::binary: + { + if (j.m_value.binary->has_subtype()) + { + if (j.m_value.binary->subtype() <= (std::numeric_limits::max)()) + { + write_number(static_cast(0xd8)); + write_number(static_cast(j.m_value.binary->subtype())); + } + else if (j.m_value.binary->subtype() <= (std::numeric_limits::max)()) + { + write_number(static_cast(0xd9)); + write_number(static_cast(j.m_value.binary->subtype())); + } + else if (j.m_value.binary->subtype() <= (std::numeric_limits::max)()) + { + write_number(static_cast(0xda)); + write_number(static_cast(j.m_value.binary->subtype())); + } + else if (j.m_value.binary->subtype() <= (std::numeric_limits::max)()) + { + write_number(static_cast(0xdb)); + write_number(static_cast(j.m_value.binary->subtype())); + } + } + + // step 1: write control byte and the binary array size + const auto N = j.m_value.binary->size(); + if (N <= 0x17) + { + write_number(static_cast(0x40 + N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x58)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x59)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x5A)); + write_number(static_cast(N)); + } + // LCOV_EXCL_START + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x5B)); + write_number(static_cast(N)); + } + // LCOV_EXCL_STOP + + // step 2: write each element + oa->write_characters( + reinterpret_cast(j.m_value.binary->data()), + N); + + break; + } + + case value_t::object: + { + // step 1: write control byte and the object size + const auto N = j.m_value.object->size(); + if (N <= 0x17) + { + write_number(static_cast(0xA0 + N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0xB8)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0xB9)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0xBA)); + write_number(static_cast(N)); + } + // LCOV_EXCL_START + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0xBB)); + write_number(static_cast(N)); + } + // LCOV_EXCL_STOP + + // step 2: write each element + for (const auto& el : *j.m_value.object) + { + write_cbor(el.first); + write_cbor(el.second); + } + break; + } + + case value_t::discarded: + default: + break; + } + } + + /*! + @param[in] j JSON value to serialize + */ + void write_msgpack(const BasicJsonType& j) + { + switch (j.type()) + { + case value_t::null: // nil + { + oa->write_character(to_char_type(0xC0)); + break; + } + + case value_t::boolean: // true and false + { + oa->write_character(j.m_value.boolean + ? to_char_type(0xC3) + : to_char_type(0xC2)); + break; + } + + case value_t::number_integer: + { + if (j.m_value.number_integer >= 0) + { + // MessagePack does not differentiate between positive + // signed integers and unsigned integers. Therefore, we used + // the code from the value_t::number_unsigned case here. + if (j.m_value.number_unsigned < 128) + { + // positive fixnum + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 8 + oa->write_character(to_char_type(0xCC)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 16 + oa->write_character(to_char_type(0xCD)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 32 + oa->write_character(to_char_type(0xCE)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 64 + oa->write_character(to_char_type(0xCF)); + write_number(static_cast(j.m_value.number_integer)); + } + } + else + { + if (j.m_value.number_integer >= -32) + { + // negative fixnum + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer >= (std::numeric_limits::min)() && + j.m_value.number_integer <= (std::numeric_limits::max)()) + { + // int 8 + oa->write_character(to_char_type(0xD0)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer >= (std::numeric_limits::min)() && + j.m_value.number_integer <= (std::numeric_limits::max)()) + { + // int 16 + oa->write_character(to_char_type(0xD1)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer >= (std::numeric_limits::min)() && + j.m_value.number_integer <= (std::numeric_limits::max)()) + { + // int 32 + oa->write_character(to_char_type(0xD2)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer >= (std::numeric_limits::min)() && + j.m_value.number_integer <= (std::numeric_limits::max)()) + { + // int 64 + oa->write_character(to_char_type(0xD3)); + write_number(static_cast(j.m_value.number_integer)); + } + } + break; + } + + case value_t::number_unsigned: + { + if (j.m_value.number_unsigned < 128) + { + // positive fixnum + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 8 + oa->write_character(to_char_type(0xCC)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 16 + oa->write_character(to_char_type(0xCD)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 32 + oa->write_character(to_char_type(0xCE)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 64 + oa->write_character(to_char_type(0xCF)); + write_number(static_cast(j.m_value.number_integer)); + } + break; + } + + case value_t::number_float: + { + write_compact_float(j.m_value.number_float, detail::input_format_t::msgpack); + break; + } + + case value_t::string: + { + // step 1: write control byte and the string length + const auto N = j.m_value.string->size(); + if (N <= 31) + { + // fixstr + write_number(static_cast(0xA0 | N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // str 8 + oa->write_character(to_char_type(0xD9)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // str 16 + oa->write_character(to_char_type(0xDA)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // str 32 + oa->write_character(to_char_type(0xDB)); + write_number(static_cast(N)); + } + + // step 2: write the string + oa->write_characters( + reinterpret_cast(j.m_value.string->c_str()), + j.m_value.string->size()); + break; + } + + case value_t::array: + { + // step 1: write control byte and the array size + const auto N = j.m_value.array->size(); + if (N <= 15) + { + // fixarray + write_number(static_cast(0x90 | N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // array 16 + oa->write_character(to_char_type(0xDC)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // array 32 + oa->write_character(to_char_type(0xDD)); + write_number(static_cast(N)); + } + + // step 2: write each element + for (const auto& el : *j.m_value.array) + { + write_msgpack(el); + } + break; + } + + case value_t::binary: + { + // step 0: determine if the binary type has a set subtype to + // determine whether or not to use the ext or fixext types + const bool use_ext = j.m_value.binary->has_subtype(); + + // step 1: write control byte and the byte string length + const auto N = j.m_value.binary->size(); + if (N <= (std::numeric_limits::max)()) + { + std::uint8_t output_type{}; + bool fixed = true; + if (use_ext) + { + switch (N) + { + case 1: + output_type = 0xD4; // fixext 1 + break; + case 2: + output_type = 0xD5; // fixext 2 + break; + case 4: + output_type = 0xD6; // fixext 4 + break; + case 8: + output_type = 0xD7; // fixext 8 + break; + case 16: + output_type = 0xD8; // fixext 16 + break; + default: + output_type = 0xC7; // ext 8 + fixed = false; + break; + } + + } + else + { + output_type = 0xC4; // bin 8 + fixed = false; + } + + oa->write_character(to_char_type(output_type)); + if (!fixed) + { + write_number(static_cast(N)); + } + } + else if (N <= (std::numeric_limits::max)()) + { + std::uint8_t output_type = use_ext + ? 0xC8 // ext 16 + : 0xC5; // bin 16 + + oa->write_character(to_char_type(output_type)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + std::uint8_t output_type = use_ext + ? 0xC9 // ext 32 + : 0xC6; // bin 32 + + oa->write_character(to_char_type(output_type)); + write_number(static_cast(N)); + } + + // step 1.5: if this is an ext type, write the subtype + if (use_ext) + { + write_number(static_cast(j.m_value.binary->subtype())); + } + + // step 2: write the byte string + oa->write_characters( + reinterpret_cast(j.m_value.binary->data()), + N); + + break; + } + + case value_t::object: + { + // step 1: write control byte and the object size + const auto N = j.m_value.object->size(); + if (N <= 15) + { + // fixmap + write_number(static_cast(0x80 | (N & 0xF))); + } + else if (N <= (std::numeric_limits::max)()) + { + // map 16 + oa->write_character(to_char_type(0xDE)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // map 32 + oa->write_character(to_char_type(0xDF)); + write_number(static_cast(N)); + } + + // step 2: write each element + for (const auto& el : *j.m_value.object) + { + write_msgpack(el.first); + write_msgpack(el.second); + } + break; + } + + case value_t::discarded: + default: + break; + } + } + + /*! + @param[in] j JSON value to serialize + @param[in] use_count whether to use '#' prefixes (optimized format) + @param[in] use_type whether to use '$' prefixes (optimized format) + @param[in] add_prefix whether prefixes need to be used for this value + */ + void write_ubjson(const BasicJsonType& j, const bool use_count, + const bool use_type, const bool add_prefix = true) + { + switch (j.type()) + { + case value_t::null: + { + if (add_prefix) + { + oa->write_character(to_char_type('Z')); + } + break; + } + + case value_t::boolean: + { + if (add_prefix) + { + oa->write_character(j.m_value.boolean + ? to_char_type('T') + : to_char_type('F')); + } + break; + } + + case value_t::number_integer: + { + write_number_with_ubjson_prefix(j.m_value.number_integer, add_prefix); + break; + } + + case value_t::number_unsigned: + { + write_number_with_ubjson_prefix(j.m_value.number_unsigned, add_prefix); + break; + } + + case value_t::number_float: + { + write_number_with_ubjson_prefix(j.m_value.number_float, add_prefix); + break; + } + + case value_t::string: + { + if (add_prefix) + { + oa->write_character(to_char_type('S')); + } + write_number_with_ubjson_prefix(j.m_value.string->size(), true); + oa->write_characters( + reinterpret_cast(j.m_value.string->c_str()), + j.m_value.string->size()); + break; + } + + case value_t::array: + { + if (add_prefix) + { + oa->write_character(to_char_type('[')); + } + + bool prefix_required = true; + if (use_type && !j.m_value.array->empty()) + { + JSON_ASSERT(use_count); + const CharType first_prefix = ubjson_prefix(j.front()); + const bool same_prefix = std::all_of(j.begin() + 1, j.end(), + [this, first_prefix](const BasicJsonType & v) + { + return ubjson_prefix(v) == first_prefix; + }); + + if (same_prefix) + { + prefix_required = false; + oa->write_character(to_char_type('$')); + oa->write_character(first_prefix); + } + } + + if (use_count) + { + oa->write_character(to_char_type('#')); + write_number_with_ubjson_prefix(j.m_value.array->size(), true); + } + + for (const auto& el : *j.m_value.array) + { + write_ubjson(el, use_count, use_type, prefix_required); + } + + if (!use_count) + { + oa->write_character(to_char_type(']')); + } + + break; + } + + case value_t::binary: + { + if (add_prefix) + { + oa->write_character(to_char_type('[')); + } + + if (use_type && !j.m_value.binary->empty()) + { + JSON_ASSERT(use_count); + oa->write_character(to_char_type('$')); + oa->write_character('U'); + } + + if (use_count) + { + oa->write_character(to_char_type('#')); + write_number_with_ubjson_prefix(j.m_value.binary->size(), true); + } + + if (use_type) + { + oa->write_characters( + reinterpret_cast(j.m_value.binary->data()), + j.m_value.binary->size()); + } + else + { + for (size_t i = 0; i < j.m_value.binary->size(); ++i) + { + oa->write_character(to_char_type('U')); + oa->write_character(j.m_value.binary->data()[i]); + } + } + + if (!use_count) + { + oa->write_character(to_char_type(']')); + } + + break; + } + + case value_t::object: + { + if (add_prefix) + { + oa->write_character(to_char_type('{')); + } + + bool prefix_required = true; + if (use_type && !j.m_value.object->empty()) + { + JSON_ASSERT(use_count); + const CharType first_prefix = ubjson_prefix(j.front()); + const bool same_prefix = std::all_of(j.begin(), j.end(), + [this, first_prefix](const BasicJsonType & v) + { + return ubjson_prefix(v) == first_prefix; + }); + + if (same_prefix) + { + prefix_required = false; + oa->write_character(to_char_type('$')); + oa->write_character(first_prefix); + } + } + + if (use_count) + { + oa->write_character(to_char_type('#')); + write_number_with_ubjson_prefix(j.m_value.object->size(), true); + } + + for (const auto& el : *j.m_value.object) + { + write_number_with_ubjson_prefix(el.first.size(), true); + oa->write_characters( + reinterpret_cast(el.first.c_str()), + el.first.size()); + write_ubjson(el.second, use_count, use_type, prefix_required); + } + + if (!use_count) + { + oa->write_character(to_char_type('}')); + } + + break; + } + + case value_t::discarded: + default: + break; + } + } + + private: + ////////// + // BSON // + ////////// + + /*! + @return The size of a BSON document entry header, including the id marker + and the entry name size (and its null-terminator). + */ + static std::size_t calc_bson_entry_header_size(const string_t& name, const BasicJsonType& j) + { + const auto it = name.find(static_cast(0)); + if (JSON_HEDLEY_UNLIKELY(it != BasicJsonType::string_t::npos)) + { + JSON_THROW(out_of_range::create(409, "BSON key cannot contain code point U+0000 (at byte " + std::to_string(it) + ")", j)); + static_cast(j); + } + + return /*id*/ 1ul + name.size() + /*zero-terminator*/1u; + } + + /*! + @brief Writes the given @a element_type and @a name to the output adapter + */ + void write_bson_entry_header(const string_t& name, + const std::uint8_t element_type) + { + oa->write_character(to_char_type(element_type)); // boolean + oa->write_characters( + reinterpret_cast(name.c_str()), + name.size() + 1u); + } + + /*! + @brief Writes a BSON element with key @a name and boolean value @a value + */ + void write_bson_boolean(const string_t& name, + const bool value) + { + write_bson_entry_header(name, 0x08); + oa->write_character(value ? to_char_type(0x01) : to_char_type(0x00)); + } + + /*! + @brief Writes a BSON element with key @a name and double value @a value + */ + void write_bson_double(const string_t& name, + const double value) + { + write_bson_entry_header(name, 0x01); + write_number(value); + } + + /*! + @return The size of the BSON-encoded string in @a value + */ + static std::size_t calc_bson_string_size(const string_t& value) + { + return sizeof(std::int32_t) + value.size() + 1ul; + } + + /*! + @brief Writes a BSON element with key @a name and string value @a value + */ + void write_bson_string(const string_t& name, + const string_t& value) + { + write_bson_entry_header(name, 0x02); + + write_number(static_cast(value.size() + 1ul)); + oa->write_characters( + reinterpret_cast(value.c_str()), + value.size() + 1); + } + + /*! + @brief Writes a BSON element with key @a name and null value + */ + void write_bson_null(const string_t& name) + { + write_bson_entry_header(name, 0x0A); + } + + /*! + @return The size of the BSON-encoded integer @a value + */ + static std::size_t calc_bson_integer_size(const std::int64_t value) + { + return (std::numeric_limits::min)() <= value && value <= (std::numeric_limits::max)() + ? sizeof(std::int32_t) + : sizeof(std::int64_t); + } + + /*! + @brief Writes a BSON element with key @a name and integer @a value + */ + void write_bson_integer(const string_t& name, + const std::int64_t value) + { + if ((std::numeric_limits::min)() <= value && value <= (std::numeric_limits::max)()) + { + write_bson_entry_header(name, 0x10); // int32 + write_number(static_cast(value)); + } + else + { + write_bson_entry_header(name, 0x12); // int64 + write_number(static_cast(value)); + } + } + + /*! + @return The size of the BSON-encoded unsigned integer in @a j + */ + static constexpr std::size_t calc_bson_unsigned_size(const std::uint64_t value) noexcept + { + return (value <= static_cast((std::numeric_limits::max)())) + ? sizeof(std::int32_t) + : sizeof(std::int64_t); + } + + /*! + @brief Writes a BSON element with key @a name and unsigned @a value + */ + void write_bson_unsigned(const string_t& name, + const BasicJsonType& j) + { + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + write_bson_entry_header(name, 0x10 /* int32 */); + write_number(static_cast(j.m_value.number_unsigned)); + } + else if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + write_bson_entry_header(name, 0x12 /* int64 */); + write_number(static_cast(j.m_value.number_unsigned)); + } + else + { + JSON_THROW(out_of_range::create(407, "integer number " + std::to_string(j.m_value.number_unsigned) + " cannot be represented by BSON as it does not fit int64", j)); + } + } + + /*! + @brief Writes a BSON element with key @a name and object @a value + */ + void write_bson_object_entry(const string_t& name, + const typename BasicJsonType::object_t& value) + { + write_bson_entry_header(name, 0x03); // object + write_bson_object(value); + } + + /*! + @return The size of the BSON-encoded array @a value + */ + static std::size_t calc_bson_array_size(const typename BasicJsonType::array_t& value) + { + std::size_t array_index = 0ul; + + const std::size_t embedded_document_size = std::accumulate(std::begin(value), std::end(value), static_cast(0), [&array_index](std::size_t result, const typename BasicJsonType::array_t::value_type & el) + { + return result + calc_bson_element_size(std::to_string(array_index++), el); + }); + + return sizeof(std::int32_t) + embedded_document_size + 1ul; + } + + /*! + @return The size of the BSON-encoded binary array @a value + */ + static std::size_t calc_bson_binary_size(const typename BasicJsonType::binary_t& value) + { + return sizeof(std::int32_t) + value.size() + 1ul; + } + + /*! + @brief Writes a BSON element with key @a name and array @a value + */ + void write_bson_array(const string_t& name, + const typename BasicJsonType::array_t& value) + { + write_bson_entry_header(name, 0x04); // array + write_number(static_cast(calc_bson_array_size(value))); + + std::size_t array_index = 0ul; + + for (const auto& el : value) + { + write_bson_element(std::to_string(array_index++), el); + } + + oa->write_character(to_char_type(0x00)); + } + + /*! + @brief Writes a BSON element with key @a name and binary value @a value + */ + void write_bson_binary(const string_t& name, + const binary_t& value) + { + write_bson_entry_header(name, 0x05); + + write_number(static_cast(value.size())); + write_number(value.has_subtype() ? static_cast(value.subtype()) : static_cast(0x00)); + + oa->write_characters(reinterpret_cast(value.data()), value.size()); + } + + /*! + @brief Calculates the size necessary to serialize the JSON value @a j with its @a name + @return The calculated size for the BSON document entry for @a j with the given @a name. + */ + static std::size_t calc_bson_element_size(const string_t& name, + const BasicJsonType& j) + { + const auto header_size = calc_bson_entry_header_size(name, j); + switch (j.type()) + { + case value_t::object: + return header_size + calc_bson_object_size(*j.m_value.object); + + case value_t::array: + return header_size + calc_bson_array_size(*j.m_value.array); + + case value_t::binary: + return header_size + calc_bson_binary_size(*j.m_value.binary); + + case value_t::boolean: + return header_size + 1ul; + + case value_t::number_float: + return header_size + 8ul; + + case value_t::number_integer: + return header_size + calc_bson_integer_size(j.m_value.number_integer); + + case value_t::number_unsigned: + return header_size + calc_bson_unsigned_size(j.m_value.number_unsigned); + + case value_t::string: + return header_size + calc_bson_string_size(*j.m_value.string); + + case value_t::null: + return header_size + 0ul; + + // LCOV_EXCL_START + case value_t::discarded: + default: + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) + return 0ul; + // LCOV_EXCL_STOP + } + } + + /*! + @brief Serializes the JSON value @a j to BSON and associates it with the + key @a name. + @param name The name to associate with the JSON entity @a j within the + current BSON document + */ + void write_bson_element(const string_t& name, + const BasicJsonType& j) + { + switch (j.type()) + { + case value_t::object: + return write_bson_object_entry(name, *j.m_value.object); + + case value_t::array: + return write_bson_array(name, *j.m_value.array); + + case value_t::binary: + return write_bson_binary(name, *j.m_value.binary); + + case value_t::boolean: + return write_bson_boolean(name, j.m_value.boolean); + + case value_t::number_float: + return write_bson_double(name, j.m_value.number_float); + + case value_t::number_integer: + return write_bson_integer(name, j.m_value.number_integer); + + case value_t::number_unsigned: + return write_bson_unsigned(name, j); + + case value_t::string: + return write_bson_string(name, *j.m_value.string); + + case value_t::null: + return write_bson_null(name); + + // LCOV_EXCL_START + case value_t::discarded: + default: + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) + return; + // LCOV_EXCL_STOP + } + } + + /*! + @brief Calculates the size of the BSON serialization of the given + JSON-object @a j. + @param[in] value JSON value to serialize + @pre value.type() == value_t::object + */ + static std::size_t calc_bson_object_size(const typename BasicJsonType::object_t& value) + { + std::size_t document_size = std::accumulate(value.begin(), value.end(), static_cast(0), + [](size_t result, const typename BasicJsonType::object_t::value_type & el) + { + return result += calc_bson_element_size(el.first, el.second); + }); + + return sizeof(std::int32_t) + document_size + 1ul; + } + + /*! + @param[in] value JSON value to serialize + @pre value.type() == value_t::object + */ + void write_bson_object(const typename BasicJsonType::object_t& value) + { + write_number(static_cast(calc_bson_object_size(value))); + + for (const auto& el : value) + { + write_bson_element(el.first, el.second); + } + + oa->write_character(to_char_type(0x00)); + } + + ////////// + // CBOR // + ////////// + + static constexpr CharType get_cbor_float_prefix(float /*unused*/) + { + return to_char_type(0xFA); // Single-Precision Float + } + + static constexpr CharType get_cbor_float_prefix(double /*unused*/) + { + return to_char_type(0xFB); // Double-Precision Float + } + + ///////////// + // MsgPack // + ///////////// + + static constexpr CharType get_msgpack_float_prefix(float /*unused*/) + { + return to_char_type(0xCA); // float 32 + } + + static constexpr CharType get_msgpack_float_prefix(double /*unused*/) + { + return to_char_type(0xCB); // float 64 + } + + //////////// + // UBJSON // + //////////// + + // UBJSON: write number (floating point) + template::value, int>::type = 0> + void write_number_with_ubjson_prefix(const NumberType n, + const bool add_prefix) + { + if (add_prefix) + { + oa->write_character(get_ubjson_float_prefix(n)); + } + write_number(n); + } + + // UBJSON: write number (unsigned integer) + template::value, int>::type = 0> + void write_number_with_ubjson_prefix(const NumberType n, + const bool add_prefix) + { + if (n <= static_cast((std::numeric_limits::max)())) + { + if (add_prefix) + { + oa->write_character(to_char_type('i')); // int8 + } + write_number(static_cast(n)); + } + else if (n <= (std::numeric_limits::max)()) + { + if (add_prefix) + { + oa->write_character(to_char_type('U')); // uint8 + } + write_number(static_cast(n)); + } + else if (n <= static_cast((std::numeric_limits::max)())) + { + if (add_prefix) + { + oa->write_character(to_char_type('I')); // int16 + } + write_number(static_cast(n)); + } + else if (n <= static_cast((std::numeric_limits::max)())) + { + if (add_prefix) + { + oa->write_character(to_char_type('l')); // int32 + } + write_number(static_cast(n)); + } + else if (n <= static_cast((std::numeric_limits::max)())) + { + if (add_prefix) + { + oa->write_character(to_char_type('L')); // int64 + } + write_number(static_cast(n)); + } + else + { + if (add_prefix) + { + oa->write_character(to_char_type('H')); // high-precision number + } + + const auto number = BasicJsonType(n).dump(); + write_number_with_ubjson_prefix(number.size(), true); + for (std::size_t i = 0; i < number.size(); ++i) + { + oa->write_character(to_char_type(static_cast(number[i]))); + } + } + } + + // UBJSON: write number (signed integer) + template < typename NumberType, typename std::enable_if < + std::is_signed::value&& + !std::is_floating_point::value, int >::type = 0 > + void write_number_with_ubjson_prefix(const NumberType n, + const bool add_prefix) + { + if ((std::numeric_limits::min)() <= n && n <= (std::numeric_limits::max)()) + { + if (add_prefix) + { + oa->write_character(to_char_type('i')); // int8 + } + write_number(static_cast(n)); + } + else if (static_cast((std::numeric_limits::min)()) <= n && n <= static_cast((std::numeric_limits::max)())) + { + if (add_prefix) + { + oa->write_character(to_char_type('U')); // uint8 + } + write_number(static_cast(n)); + } + else if ((std::numeric_limits::min)() <= n && n <= (std::numeric_limits::max)()) + { + if (add_prefix) + { + oa->write_character(to_char_type('I')); // int16 + } + write_number(static_cast(n)); + } + else if ((std::numeric_limits::min)() <= n && n <= (std::numeric_limits::max)()) + { + if (add_prefix) + { + oa->write_character(to_char_type('l')); // int32 + } + write_number(static_cast(n)); + } + else if ((std::numeric_limits::min)() <= n && n <= (std::numeric_limits::max)()) + { + if (add_prefix) + { + oa->write_character(to_char_type('L')); // int64 + } + write_number(static_cast(n)); + } + // LCOV_EXCL_START + else + { + if (add_prefix) + { + oa->write_character(to_char_type('H')); // high-precision number + } + + const auto number = BasicJsonType(n).dump(); + write_number_with_ubjson_prefix(number.size(), true); + for (std::size_t i = 0; i < number.size(); ++i) + { + oa->write_character(to_char_type(static_cast(number[i]))); + } + } + // LCOV_EXCL_STOP + } + + /*! + @brief determine the type prefix of container values + */ + CharType ubjson_prefix(const BasicJsonType& j) const noexcept + { + switch (j.type()) + { + case value_t::null: + return 'Z'; + + case value_t::boolean: + return j.m_value.boolean ? 'T' : 'F'; + + case value_t::number_integer: + { + if ((std::numeric_limits::min)() <= j.m_value.number_integer && j.m_value.number_integer <= (std::numeric_limits::max)()) + { + return 'i'; + } + if ((std::numeric_limits::min)() <= j.m_value.number_integer && j.m_value.number_integer <= (std::numeric_limits::max)()) + { + return 'U'; + } + if ((std::numeric_limits::min)() <= j.m_value.number_integer && j.m_value.number_integer <= (std::numeric_limits::max)()) + { + return 'I'; + } + if ((std::numeric_limits::min)() <= j.m_value.number_integer && j.m_value.number_integer <= (std::numeric_limits::max)()) + { + return 'l'; + } + if ((std::numeric_limits::min)() <= j.m_value.number_integer && j.m_value.number_integer <= (std::numeric_limits::max)()) + { + return 'L'; + } + // anything else is treated as high-precision number + return 'H'; // LCOV_EXCL_LINE + } + + case value_t::number_unsigned: + { + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + return 'i'; + } + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + return 'U'; + } + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + return 'I'; + } + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + return 'l'; + } + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + return 'L'; + } + // anything else is treated as high-precision number + return 'H'; // LCOV_EXCL_LINE + } + + case value_t::number_float: + return get_ubjson_float_prefix(j.m_value.number_float); + + case value_t::string: + return 'S'; + + case value_t::array: // fallthrough + case value_t::binary: + return '['; + + case value_t::object: + return '{'; + + case value_t::discarded: + default: // discarded values + return 'N'; + } + } + + static constexpr CharType get_ubjson_float_prefix(float /*unused*/) + { + return 'd'; // float 32 + } + + static constexpr CharType get_ubjson_float_prefix(double /*unused*/) + { + return 'D'; // float 64 + } + + /////////////////////// + // Utility functions // + /////////////////////// + + /* + @brief write a number to output input + @param[in] n number of type @a NumberType + @tparam NumberType the type of the number + @tparam OutputIsLittleEndian Set to true if output data is + required to be little endian + + @note This function needs to respect the system's endianness, because bytes + in CBOR, MessagePack, and UBJSON are stored in network order (big + endian) and therefore need reordering on little endian systems. + */ + template + void write_number(const NumberType n) + { + // step 1: write number to array of length NumberType + std::array vec{}; + std::memcpy(vec.data(), &n, sizeof(NumberType)); + + // step 2: write array to output (with possible reordering) + if (is_little_endian != OutputIsLittleEndian) + { + // reverse byte order prior to conversion if necessary + std::reverse(vec.begin(), vec.end()); + } + + oa->write_characters(vec.data(), sizeof(NumberType)); + } + + void write_compact_float(const number_float_t n, detail::input_format_t format) + { +#ifdef __GNUC__ +#pragma GCC diagnostic push +#pragma GCC diagnostic ignored "-Wfloat-equal" +#endif + if (static_cast(n) >= static_cast(std::numeric_limits::lowest()) && + static_cast(n) <= static_cast((std::numeric_limits::max)()) && + static_cast(static_cast(n)) == static_cast(n)) + { + oa->write_character(format == detail::input_format_t::cbor + ? get_cbor_float_prefix(static_cast(n)) + : get_msgpack_float_prefix(static_cast(n))); + write_number(static_cast(n)); + } + else + { + oa->write_character(format == detail::input_format_t::cbor + ? get_cbor_float_prefix(n) + : get_msgpack_float_prefix(n)); + write_number(n); + } +#ifdef __GNUC__ +#pragma GCC diagnostic pop +#endif + } + + public: + // The following to_char_type functions are implement the conversion + // between uint8_t and CharType. In case CharType is not unsigned, + // such a conversion is required to allow values greater than 128. + // See for a discussion. + template < typename C = CharType, + enable_if_t < std::is_signed::value && std::is_signed::value > * = nullptr > + static constexpr CharType to_char_type(std::uint8_t x) noexcept + { + return *reinterpret_cast(&x); + } + + template < typename C = CharType, + enable_if_t < std::is_signed::value && std::is_unsigned::value > * = nullptr > + static CharType to_char_type(std::uint8_t x) noexcept + { + static_assert(sizeof(std::uint8_t) == sizeof(CharType), "size of CharType must be equal to std::uint8_t"); + static_assert(std::is_trivial::value, "CharType must be trivial"); + CharType result; + std::memcpy(&result, &x, sizeof(x)); + return result; + } + + template::value>* = nullptr> + static constexpr CharType to_char_type(std::uint8_t x) noexcept + { + return x; + } + + template < typename InputCharType, typename C = CharType, + enable_if_t < + std::is_signed::value && + std::is_signed::value && + std::is_same::type>::value + > * = nullptr > + static constexpr CharType to_char_type(InputCharType x) noexcept + { + return x; + } + + private: + /// whether we can assume little endianness + const bool is_little_endian = little_endianness(); + + /// the output + output_adapter_t oa = nullptr; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +#include // reverse, remove, fill, find, none_of +#include // array +#include // localeconv, lconv +#include // labs, isfinite, isnan, signbit +#include // size_t, ptrdiff_t +#include // uint8_t +#include // snprintf +#include // numeric_limits +#include // string, char_traits +#include // setfill, setw +#include // is_same +#include // move + +// #include + + +#include // array +#include // signbit, isfinite +#include // intN_t, uintN_t +#include // memcpy, memmove +#include // numeric_limits +#include // conditional + +// #include + + +namespace nlohmann +{ +namespace detail +{ + +/*! +@brief implements the Grisu2 algorithm for binary to decimal floating-point +conversion. + +This implementation is a slightly modified version of the reference +implementation which may be obtained from +http://florian.loitsch.com/publications (bench.tar.gz). + +The code is distributed under the MIT license, Copyright (c) 2009 Florian Loitsch. + +For a detailed description of the algorithm see: + +[1] Loitsch, "Printing Floating-Point Numbers Quickly and Accurately with + Integers", Proceedings of the ACM SIGPLAN 2010 Conference on Programming + Language Design and Implementation, PLDI 2010 +[2] Burger, Dybvig, "Printing Floating-Point Numbers Quickly and Accurately", + Proceedings of the ACM SIGPLAN 1996 Conference on Programming Language + Design and Implementation, PLDI 1996 +*/ +namespace dtoa_impl +{ + +template +Target reinterpret_bits(const Source source) +{ + static_assert(sizeof(Target) == sizeof(Source), "size mismatch"); + + Target target; + std::memcpy(&target, &source, sizeof(Source)); + return target; +} + +struct diyfp // f * 2^e +{ + static constexpr int kPrecision = 64; // = q + + std::uint64_t f = 0; + int e = 0; + + constexpr diyfp(std::uint64_t f_, int e_) noexcept : f(f_), e(e_) {} + + /*! + @brief returns x - y + @pre x.e == y.e and x.f >= y.f + */ + static diyfp sub(const diyfp& x, const diyfp& y) noexcept + { + JSON_ASSERT(x.e == y.e); + JSON_ASSERT(x.f >= y.f); + + return {x.f - y.f, x.e}; + } + + /*! + @brief returns x * y + @note The result is rounded. (Only the upper q bits are returned.) + */ + static diyfp mul(const diyfp& x, const diyfp& y) noexcept + { + static_assert(kPrecision == 64, "internal error"); + + // Computes: + // f = round((x.f * y.f) / 2^q) + // e = x.e + y.e + q + + // Emulate the 64-bit * 64-bit multiplication: + // + // p = u * v + // = (u_lo + 2^32 u_hi) (v_lo + 2^32 v_hi) + // = (u_lo v_lo ) + 2^32 ((u_lo v_hi ) + (u_hi v_lo )) + 2^64 (u_hi v_hi ) + // = (p0 ) + 2^32 ((p1 ) + (p2 )) + 2^64 (p3 ) + // = (p0_lo + 2^32 p0_hi) + 2^32 ((p1_lo + 2^32 p1_hi) + (p2_lo + 2^32 p2_hi)) + 2^64 (p3 ) + // = (p0_lo ) + 2^32 (p0_hi + p1_lo + p2_lo ) + 2^64 (p1_hi + p2_hi + p3) + // = (p0_lo ) + 2^32 (Q ) + 2^64 (H ) + // = (p0_lo ) + 2^32 (Q_lo + 2^32 Q_hi ) + 2^64 (H ) + // + // (Since Q might be larger than 2^32 - 1) + // + // = (p0_lo + 2^32 Q_lo) + 2^64 (Q_hi + H) + // + // (Q_hi + H does not overflow a 64-bit int) + // + // = p_lo + 2^64 p_hi + + const std::uint64_t u_lo = x.f & 0xFFFFFFFFu; + const std::uint64_t u_hi = x.f >> 32u; + const std::uint64_t v_lo = y.f & 0xFFFFFFFFu; + const std::uint64_t v_hi = y.f >> 32u; + + const std::uint64_t p0 = u_lo * v_lo; + const std::uint64_t p1 = u_lo * v_hi; + const std::uint64_t p2 = u_hi * v_lo; + const std::uint64_t p3 = u_hi * v_hi; + + const std::uint64_t p0_hi = p0 >> 32u; + const std::uint64_t p1_lo = p1 & 0xFFFFFFFFu; + const std::uint64_t p1_hi = p1 >> 32u; + const std::uint64_t p2_lo = p2 & 0xFFFFFFFFu; + const std::uint64_t p2_hi = p2 >> 32u; + + std::uint64_t Q = p0_hi + p1_lo + p2_lo; + + // The full product might now be computed as + // + // p_hi = p3 + p2_hi + p1_hi + (Q >> 32) + // p_lo = p0_lo + (Q << 32) + // + // But in this particular case here, the full p_lo is not required. + // Effectively we only need to add the highest bit in p_lo to p_hi (and + // Q_hi + 1 does not overflow). + + Q += std::uint64_t{1} << (64u - 32u - 1u); // round, ties up + + const std::uint64_t h = p3 + p2_hi + p1_hi + (Q >> 32u); + + return {h, x.e + y.e + 64}; + } + + /*! + @brief normalize x such that the significand is >= 2^(q-1) + @pre x.f != 0 + */ + static diyfp normalize(diyfp x) noexcept + { + JSON_ASSERT(x.f != 0); + + while ((x.f >> 63u) == 0) + { + x.f <<= 1u; + x.e--; + } + + return x; + } + + /*! + @brief normalize x such that the result has the exponent E + @pre e >= x.e and the upper e - x.e bits of x.f must be zero. + */ + static diyfp normalize_to(const diyfp& x, const int target_exponent) noexcept + { + const int delta = x.e - target_exponent; + + JSON_ASSERT(delta >= 0); + JSON_ASSERT(((x.f << delta) >> delta) == x.f); + + return {x.f << delta, target_exponent}; + } +}; + +struct boundaries +{ + diyfp w; + diyfp minus; + diyfp plus; +}; + +/*! +Compute the (normalized) diyfp representing the input number 'value' and its +boundaries. + +@pre value must be finite and positive +*/ +template +boundaries compute_boundaries(FloatType value) +{ + JSON_ASSERT(std::isfinite(value)); + JSON_ASSERT(value > 0); + + // Convert the IEEE representation into a diyfp. + // + // If v is denormal: + // value = 0.F * 2^(1 - bias) = ( F) * 2^(1 - bias - (p-1)) + // If v is normalized: + // value = 1.F * 2^(E - bias) = (2^(p-1) + F) * 2^(E - bias - (p-1)) + + static_assert(std::numeric_limits::is_iec559, + "internal error: dtoa_short requires an IEEE-754 floating-point implementation"); + + constexpr int kPrecision = std::numeric_limits::digits; // = p (includes the hidden bit) + constexpr int kBias = std::numeric_limits::max_exponent - 1 + (kPrecision - 1); + constexpr int kMinExp = 1 - kBias; + constexpr std::uint64_t kHiddenBit = std::uint64_t{1} << (kPrecision - 1); // = 2^(p-1) + + using bits_type = typename std::conditional::type; + + const auto bits = static_cast(reinterpret_bits(value)); + const std::uint64_t E = bits >> (kPrecision - 1); + const std::uint64_t F = bits & (kHiddenBit - 1); + + const bool is_denormal = E == 0; + const diyfp v = is_denormal + ? diyfp(F, kMinExp) + : diyfp(F + kHiddenBit, static_cast(E) - kBias); + + // Compute the boundaries m- and m+ of the floating-point value + // v = f * 2^e. + // + // Determine v- and v+, the floating-point predecessor and successor if v, + // respectively. + // + // v- = v - 2^e if f != 2^(p-1) or e == e_min (A) + // = v - 2^(e-1) if f == 2^(p-1) and e > e_min (B) + // + // v+ = v + 2^e + // + // Let m- = (v- + v) / 2 and m+ = (v + v+) / 2. All real numbers _strictly_ + // between m- and m+ round to v, regardless of how the input rounding + // algorithm breaks ties. + // + // ---+-------------+-------------+-------------+-------------+--- (A) + // v- m- v m+ v+ + // + // -----------------+------+------+-------------+-------------+--- (B) + // v- m- v m+ v+ + + const bool lower_boundary_is_closer = F == 0 && E > 1; + const diyfp m_plus = diyfp(2 * v.f + 1, v.e - 1); + const diyfp m_minus = lower_boundary_is_closer + ? diyfp(4 * v.f - 1, v.e - 2) // (B) + : diyfp(2 * v.f - 1, v.e - 1); // (A) + + // Determine the normalized w+ = m+. + const diyfp w_plus = diyfp::normalize(m_plus); + + // Determine w- = m- such that e_(w-) = e_(w+). + const diyfp w_minus = diyfp::normalize_to(m_minus, w_plus.e); + + return {diyfp::normalize(v), w_minus, w_plus}; +} + +// Given normalized diyfp w, Grisu needs to find a (normalized) cached +// power-of-ten c, such that the exponent of the product c * w = f * 2^e lies +// within a certain range [alpha, gamma] (Definition 3.2 from [1]) +// +// alpha <= e = e_c + e_w + q <= gamma +// +// or +// +// f_c * f_w * 2^alpha <= f_c 2^(e_c) * f_w 2^(e_w) * 2^q +// <= f_c * f_w * 2^gamma +// +// Since c and w are normalized, i.e. 2^(q-1) <= f < 2^q, this implies +// +// 2^(q-1) * 2^(q-1) * 2^alpha <= c * w * 2^q < 2^q * 2^q * 2^gamma +// +// or +// +// 2^(q - 2 + alpha) <= c * w < 2^(q + gamma) +// +// The choice of (alpha,gamma) determines the size of the table and the form of +// the digit generation procedure. Using (alpha,gamma)=(-60,-32) works out well +// in practice: +// +// The idea is to cut the number c * w = f * 2^e into two parts, which can be +// processed independently: An integral part p1, and a fractional part p2: +// +// f * 2^e = ( (f div 2^-e) * 2^-e + (f mod 2^-e) ) * 2^e +// = (f div 2^-e) + (f mod 2^-e) * 2^e +// = p1 + p2 * 2^e +// +// The conversion of p1 into decimal form requires a series of divisions and +// modulos by (a power of) 10. These operations are faster for 32-bit than for +// 64-bit integers, so p1 should ideally fit into a 32-bit integer. This can be +// achieved by choosing +// +// -e >= 32 or e <= -32 := gamma +// +// In order to convert the fractional part +// +// p2 * 2^e = p2 / 2^-e = d[-1] / 10^1 + d[-2] / 10^2 + ... +// +// into decimal form, the fraction is repeatedly multiplied by 10 and the digits +// d[-i] are extracted in order: +// +// (10 * p2) div 2^-e = d[-1] +// (10 * p2) mod 2^-e = d[-2] / 10^1 + ... +// +// The multiplication by 10 must not overflow. It is sufficient to choose +// +// 10 * p2 < 16 * p2 = 2^4 * p2 <= 2^64. +// +// Since p2 = f mod 2^-e < 2^-e, +// +// -e <= 60 or e >= -60 := alpha + +constexpr int kAlpha = -60; +constexpr int kGamma = -32; + +struct cached_power // c = f * 2^e ~= 10^k +{ + std::uint64_t f; + int e; + int k; +}; + +/*! +For a normalized diyfp w = f * 2^e, this function returns a (normalized) cached +power-of-ten c = f_c * 2^e_c, such that the exponent of the product w * c +satisfies (Definition 3.2 from [1]) + + alpha <= e_c + e + q <= gamma. +*/ +inline cached_power get_cached_power_for_binary_exponent(int e) +{ + // Now + // + // alpha <= e_c + e + q <= gamma (1) + // ==> f_c * 2^alpha <= c * 2^e * 2^q + // + // and since the c's are normalized, 2^(q-1) <= f_c, + // + // ==> 2^(q - 1 + alpha) <= c * 2^(e + q) + // ==> 2^(alpha - e - 1) <= c + // + // If c were an exact power of ten, i.e. c = 10^k, one may determine k as + // + // k = ceil( log_10( 2^(alpha - e - 1) ) ) + // = ceil( (alpha - e - 1) * log_10(2) ) + // + // From the paper: + // "In theory the result of the procedure could be wrong since c is rounded, + // and the computation itself is approximated [...]. In practice, however, + // this simple function is sufficient." + // + // For IEEE double precision floating-point numbers converted into + // normalized diyfp's w = f * 2^e, with q = 64, + // + // e >= -1022 (min IEEE exponent) + // -52 (p - 1) + // -52 (p - 1, possibly normalize denormal IEEE numbers) + // -11 (normalize the diyfp) + // = -1137 + // + // and + // + // e <= +1023 (max IEEE exponent) + // -52 (p - 1) + // -11 (normalize the diyfp) + // = 960 + // + // This binary exponent range [-1137,960] results in a decimal exponent + // range [-307,324]. One does not need to store a cached power for each + // k in this range. For each such k it suffices to find a cached power + // such that the exponent of the product lies in [alpha,gamma]. + // This implies that the difference of the decimal exponents of adjacent + // table entries must be less than or equal to + // + // floor( (gamma - alpha) * log_10(2) ) = 8. + // + // (A smaller distance gamma-alpha would require a larger table.) + + // NB: + // Actually this function returns c, such that -60 <= e_c + e + 64 <= -34. + + constexpr int kCachedPowersMinDecExp = -300; + constexpr int kCachedPowersDecStep = 8; + + static constexpr std::array kCachedPowers = + { + { + { 0xAB70FE17C79AC6CA, -1060, -300 }, + { 0xFF77B1FCBEBCDC4F, -1034, -292 }, + { 0xBE5691EF416BD60C, -1007, -284 }, + { 0x8DD01FAD907FFC3C, -980, -276 }, + { 0xD3515C2831559A83, -954, -268 }, + { 0x9D71AC8FADA6C9B5, -927, -260 }, + { 0xEA9C227723EE8BCB, -901, -252 }, + { 0xAECC49914078536D, -874, -244 }, + { 0x823C12795DB6CE57, -847, -236 }, + { 0xC21094364DFB5637, -821, -228 }, + { 0x9096EA6F3848984F, -794, -220 }, + { 0xD77485CB25823AC7, -768, -212 }, + { 0xA086CFCD97BF97F4, -741, -204 }, + { 0xEF340A98172AACE5, -715, -196 }, + { 0xB23867FB2A35B28E, -688, -188 }, + { 0x84C8D4DFD2C63F3B, -661, -180 }, + { 0xC5DD44271AD3CDBA, -635, -172 }, + { 0x936B9FCEBB25C996, -608, -164 }, + { 0xDBAC6C247D62A584, -582, -156 }, + { 0xA3AB66580D5FDAF6, -555, -148 }, + { 0xF3E2F893DEC3F126, -529, -140 }, + { 0xB5B5ADA8AAFF80B8, -502, -132 }, + { 0x87625F056C7C4A8B, -475, -124 }, + { 0xC9BCFF6034C13053, -449, -116 }, + { 0x964E858C91BA2655, -422, -108 }, + { 0xDFF9772470297EBD, -396, -100 }, + { 0xA6DFBD9FB8E5B88F, -369, -92 }, + { 0xF8A95FCF88747D94, -343, -84 }, + { 0xB94470938FA89BCF, -316, -76 }, + { 0x8A08F0F8BF0F156B, -289, -68 }, + { 0xCDB02555653131B6, -263, -60 }, + { 0x993FE2C6D07B7FAC, -236, -52 }, + { 0xE45C10C42A2B3B06, -210, -44 }, + { 0xAA242499697392D3, -183, -36 }, + { 0xFD87B5F28300CA0E, -157, -28 }, + { 0xBCE5086492111AEB, -130, -20 }, + { 0x8CBCCC096F5088CC, -103, -12 }, + { 0xD1B71758E219652C, -77, -4 }, + { 0x9C40000000000000, -50, 4 }, + { 0xE8D4A51000000000, -24, 12 }, + { 0xAD78EBC5AC620000, 3, 20 }, + { 0x813F3978F8940984, 30, 28 }, + { 0xC097CE7BC90715B3, 56, 36 }, + { 0x8F7E32CE7BEA5C70, 83, 44 }, + { 0xD5D238A4ABE98068, 109, 52 }, + { 0x9F4F2726179A2245, 136, 60 }, + { 0xED63A231D4C4FB27, 162, 68 }, + { 0xB0DE65388CC8ADA8, 189, 76 }, + { 0x83C7088E1AAB65DB, 216, 84 }, + { 0xC45D1DF942711D9A, 242, 92 }, + { 0x924D692CA61BE758, 269, 100 }, + { 0xDA01EE641A708DEA, 295, 108 }, + { 0xA26DA3999AEF774A, 322, 116 }, + { 0xF209787BB47D6B85, 348, 124 }, + { 0xB454E4A179DD1877, 375, 132 }, + { 0x865B86925B9BC5C2, 402, 140 }, + { 0xC83553C5C8965D3D, 428, 148 }, + { 0x952AB45CFA97A0B3, 455, 156 }, + { 0xDE469FBD99A05FE3, 481, 164 }, + { 0xA59BC234DB398C25, 508, 172 }, + { 0xF6C69A72A3989F5C, 534, 180 }, + { 0xB7DCBF5354E9BECE, 561, 188 }, + { 0x88FCF317F22241E2, 588, 196 }, + { 0xCC20CE9BD35C78A5, 614, 204 }, + { 0x98165AF37B2153DF, 641, 212 }, + { 0xE2A0B5DC971F303A, 667, 220 }, + { 0xA8D9D1535CE3B396, 694, 228 }, + { 0xFB9B7CD9A4A7443C, 720, 236 }, + { 0xBB764C4CA7A44410, 747, 244 }, + { 0x8BAB8EEFB6409C1A, 774, 252 }, + { 0xD01FEF10A657842C, 800, 260 }, + { 0x9B10A4E5E9913129, 827, 268 }, + { 0xE7109BFBA19C0C9D, 853, 276 }, + { 0xAC2820D9623BF429, 880, 284 }, + { 0x80444B5E7AA7CF85, 907, 292 }, + { 0xBF21E44003ACDD2D, 933, 300 }, + { 0x8E679C2F5E44FF8F, 960, 308 }, + { 0xD433179D9C8CB841, 986, 316 }, + { 0x9E19DB92B4E31BA9, 1013, 324 }, + } + }; + + // This computation gives exactly the same results for k as + // k = ceil((kAlpha - e - 1) * 0.30102999566398114) + // for |e| <= 1500, but doesn't require floating-point operations. + // NB: log_10(2) ~= 78913 / 2^18 + JSON_ASSERT(e >= -1500); + JSON_ASSERT(e <= 1500); + const int f = kAlpha - e - 1; + const int k = (f * 78913) / (1 << 18) + static_cast(f > 0); + + const int index = (-kCachedPowersMinDecExp + k + (kCachedPowersDecStep - 1)) / kCachedPowersDecStep; + JSON_ASSERT(index >= 0); + JSON_ASSERT(static_cast(index) < kCachedPowers.size()); + + const cached_power cached = kCachedPowers[static_cast(index)]; + JSON_ASSERT(kAlpha <= cached.e + e + 64); + JSON_ASSERT(kGamma >= cached.e + e + 64); + + return cached; +} + +/*! +For n != 0, returns k, such that pow10 := 10^(k-1) <= n < 10^k. +For n == 0, returns 1 and sets pow10 := 1. +*/ +inline int find_largest_pow10(const std::uint32_t n, std::uint32_t& pow10) +{ + // LCOV_EXCL_START + if (n >= 1000000000) + { + pow10 = 1000000000; + return 10; + } + // LCOV_EXCL_STOP + if (n >= 100000000) + { + pow10 = 100000000; + return 9; + } + if (n >= 10000000) + { + pow10 = 10000000; + return 8; + } + if (n >= 1000000) + { + pow10 = 1000000; + return 7; + } + if (n >= 100000) + { + pow10 = 100000; + return 6; + } + if (n >= 10000) + { + pow10 = 10000; + return 5; + } + if (n >= 1000) + { + pow10 = 1000; + return 4; + } + if (n >= 100) + { + pow10 = 100; + return 3; + } + if (n >= 10) + { + pow10 = 10; + return 2; + } + + pow10 = 1; + return 1; +} + +inline void grisu2_round(char* buf, int len, std::uint64_t dist, std::uint64_t delta, + std::uint64_t rest, std::uint64_t ten_k) +{ + JSON_ASSERT(len >= 1); + JSON_ASSERT(dist <= delta); + JSON_ASSERT(rest <= delta); + JSON_ASSERT(ten_k > 0); + + // <--------------------------- delta ----> + // <---- dist ---------> + // --------------[------------------+-------------------]-------------- + // M- w M+ + // + // ten_k + // <------> + // <---- rest ----> + // --------------[------------------+----+--------------]-------------- + // w V + // = buf * 10^k + // + // ten_k represents a unit-in-the-last-place in the decimal representation + // stored in buf. + // Decrement buf by ten_k while this takes buf closer to w. + + // The tests are written in this order to avoid overflow in unsigned + // integer arithmetic. + + while (rest < dist + && delta - rest >= ten_k + && (rest + ten_k < dist || dist - rest > rest + ten_k - dist)) + { + JSON_ASSERT(buf[len - 1] != '0'); + buf[len - 1]--; + rest += ten_k; + } +} + +/*! +Generates V = buffer * 10^decimal_exponent, such that M- <= V <= M+. +M- and M+ must be normalized and share the same exponent -60 <= e <= -32. +*/ +inline void grisu2_digit_gen(char* buffer, int& length, int& decimal_exponent, + diyfp M_minus, diyfp w, diyfp M_plus) +{ + static_assert(kAlpha >= -60, "internal error"); + static_assert(kGamma <= -32, "internal error"); + + // Generates the digits (and the exponent) of a decimal floating-point + // number V = buffer * 10^decimal_exponent in the range [M-, M+]. The diyfp's + // w, M- and M+ share the same exponent e, which satisfies alpha <= e <= gamma. + // + // <--------------------------- delta ----> + // <---- dist ---------> + // --------------[------------------+-------------------]-------------- + // M- w M+ + // + // Grisu2 generates the digits of M+ from left to right and stops as soon as + // V is in [M-,M+]. + + JSON_ASSERT(M_plus.e >= kAlpha); + JSON_ASSERT(M_plus.e <= kGamma); + + std::uint64_t delta = diyfp::sub(M_plus, M_minus).f; // (significand of (M+ - M-), implicit exponent is e) + std::uint64_t dist = diyfp::sub(M_plus, w ).f; // (significand of (M+ - w ), implicit exponent is e) + + // Split M+ = f * 2^e into two parts p1 and p2 (note: e < 0): + // + // M+ = f * 2^e + // = ((f div 2^-e) * 2^-e + (f mod 2^-e)) * 2^e + // = ((p1 ) * 2^-e + (p2 )) * 2^e + // = p1 + p2 * 2^e + + const diyfp one(std::uint64_t{1} << -M_plus.e, M_plus.e); + + auto p1 = static_cast(M_plus.f >> -one.e); // p1 = f div 2^-e (Since -e >= 32, p1 fits into a 32-bit int.) + std::uint64_t p2 = M_plus.f & (one.f - 1); // p2 = f mod 2^-e + + // 1) + // + // Generate the digits of the integral part p1 = d[n-1]...d[1]d[0] + + JSON_ASSERT(p1 > 0); + + std::uint32_t pow10{}; + const int k = find_largest_pow10(p1, pow10); + + // 10^(k-1) <= p1 < 10^k, pow10 = 10^(k-1) + // + // p1 = (p1 div 10^(k-1)) * 10^(k-1) + (p1 mod 10^(k-1)) + // = (d[k-1] ) * 10^(k-1) + (p1 mod 10^(k-1)) + // + // M+ = p1 + p2 * 2^e + // = d[k-1] * 10^(k-1) + (p1 mod 10^(k-1)) + p2 * 2^e + // = d[k-1] * 10^(k-1) + ((p1 mod 10^(k-1)) * 2^-e + p2) * 2^e + // = d[k-1] * 10^(k-1) + ( rest) * 2^e + // + // Now generate the digits d[n] of p1 from left to right (n = k-1,...,0) + // + // p1 = d[k-1]...d[n] * 10^n + d[n-1]...d[0] + // + // but stop as soon as + // + // rest * 2^e = (d[n-1]...d[0] * 2^-e + p2) * 2^e <= delta * 2^e + + int n = k; + while (n > 0) + { + // Invariants: + // M+ = buffer * 10^n + (p1 + p2 * 2^e) (buffer = 0 for n = k) + // pow10 = 10^(n-1) <= p1 < 10^n + // + const std::uint32_t d = p1 / pow10; // d = p1 div 10^(n-1) + const std::uint32_t r = p1 % pow10; // r = p1 mod 10^(n-1) + // + // M+ = buffer * 10^n + (d * 10^(n-1) + r) + p2 * 2^e + // = (buffer * 10 + d) * 10^(n-1) + (r + p2 * 2^e) + // + JSON_ASSERT(d <= 9); + buffer[length++] = static_cast('0' + d); // buffer := buffer * 10 + d + // + // M+ = buffer * 10^(n-1) + (r + p2 * 2^e) + // + p1 = r; + n--; + // + // M+ = buffer * 10^n + (p1 + p2 * 2^e) + // pow10 = 10^n + // + + // Now check if enough digits have been generated. + // Compute + // + // p1 + p2 * 2^e = (p1 * 2^-e + p2) * 2^e = rest * 2^e + // + // Note: + // Since rest and delta share the same exponent e, it suffices to + // compare the significands. + const std::uint64_t rest = (std::uint64_t{p1} << -one.e) + p2; + if (rest <= delta) + { + // V = buffer * 10^n, with M- <= V <= M+. + + decimal_exponent += n; + + // We may now just stop. But instead look if the buffer could be + // decremented to bring V closer to w. + // + // pow10 = 10^n is now 1 ulp in the decimal representation V. + // The rounding procedure works with diyfp's with an implicit + // exponent of e. + // + // 10^n = (10^n * 2^-e) * 2^e = ulp * 2^e + // + const std::uint64_t ten_n = std::uint64_t{pow10} << -one.e; + grisu2_round(buffer, length, dist, delta, rest, ten_n); + + return; + } + + pow10 /= 10; + // + // pow10 = 10^(n-1) <= p1 < 10^n + // Invariants restored. + } + + // 2) + // + // The digits of the integral part have been generated: + // + // M+ = d[k-1]...d[1]d[0] + p2 * 2^e + // = buffer + p2 * 2^e + // + // Now generate the digits of the fractional part p2 * 2^e. + // + // Note: + // No decimal point is generated: the exponent is adjusted instead. + // + // p2 actually represents the fraction + // + // p2 * 2^e + // = p2 / 2^-e + // = d[-1] / 10^1 + d[-2] / 10^2 + ... + // + // Now generate the digits d[-m] of p1 from left to right (m = 1,2,...) + // + // p2 * 2^e = d[-1]d[-2]...d[-m] * 10^-m + // + 10^-m * (d[-m-1] / 10^1 + d[-m-2] / 10^2 + ...) + // + // using + // + // 10^m * p2 = ((10^m * p2) div 2^-e) * 2^-e + ((10^m * p2) mod 2^-e) + // = ( d) * 2^-e + ( r) + // + // or + // 10^m * p2 * 2^e = d + r * 2^e + // + // i.e. + // + // M+ = buffer + p2 * 2^e + // = buffer + 10^-m * (d + r * 2^e) + // = (buffer * 10^m + d) * 10^-m + 10^-m * r * 2^e + // + // and stop as soon as 10^-m * r * 2^e <= delta * 2^e + + JSON_ASSERT(p2 > delta); + + int m = 0; + for (;;) + { + // Invariant: + // M+ = buffer * 10^-m + 10^-m * (d[-m-1] / 10 + d[-m-2] / 10^2 + ...) * 2^e + // = buffer * 10^-m + 10^-m * (p2 ) * 2^e + // = buffer * 10^-m + 10^-m * (1/10 * (10 * p2) ) * 2^e + // = buffer * 10^-m + 10^-m * (1/10 * ((10*p2 div 2^-e) * 2^-e + (10*p2 mod 2^-e)) * 2^e + // + JSON_ASSERT(p2 <= (std::numeric_limits::max)() / 10); + p2 *= 10; + const std::uint64_t d = p2 >> -one.e; // d = (10 * p2) div 2^-e + const std::uint64_t r = p2 & (one.f - 1); // r = (10 * p2) mod 2^-e + // + // M+ = buffer * 10^-m + 10^-m * (1/10 * (d * 2^-e + r) * 2^e + // = buffer * 10^-m + 10^-m * (1/10 * (d + r * 2^e)) + // = (buffer * 10 + d) * 10^(-m-1) + 10^(-m-1) * r * 2^e + // + JSON_ASSERT(d <= 9); + buffer[length++] = static_cast('0' + d); // buffer := buffer * 10 + d + // + // M+ = buffer * 10^(-m-1) + 10^(-m-1) * r * 2^e + // + p2 = r; + m++; + // + // M+ = buffer * 10^-m + 10^-m * p2 * 2^e + // Invariant restored. + + // Check if enough digits have been generated. + // + // 10^-m * p2 * 2^e <= delta * 2^e + // p2 * 2^e <= 10^m * delta * 2^e + // p2 <= 10^m * delta + delta *= 10; + dist *= 10; + if (p2 <= delta) + { + break; + } + } + + // V = buffer * 10^-m, with M- <= V <= M+. + + decimal_exponent -= m; + + // 1 ulp in the decimal representation is now 10^-m. + // Since delta and dist are now scaled by 10^m, we need to do the + // same with ulp in order to keep the units in sync. + // + // 10^m * 10^-m = 1 = 2^-e * 2^e = ten_m * 2^e + // + const std::uint64_t ten_m = one.f; + grisu2_round(buffer, length, dist, delta, p2, ten_m); + + // By construction this algorithm generates the shortest possible decimal + // number (Loitsch, Theorem 6.2) which rounds back to w. + // For an input number of precision p, at least + // + // N = 1 + ceil(p * log_10(2)) + // + // decimal digits are sufficient to identify all binary floating-point + // numbers (Matula, "In-and-Out conversions"). + // This implies that the algorithm does not produce more than N decimal + // digits. + // + // N = 17 for p = 53 (IEEE double precision) + // N = 9 for p = 24 (IEEE single precision) +} + +/*! +v = buf * 10^decimal_exponent +len is the length of the buffer (number of decimal digits) +The buffer must be large enough, i.e. >= max_digits10. +*/ +JSON_HEDLEY_NON_NULL(1) +inline void grisu2(char* buf, int& len, int& decimal_exponent, + diyfp m_minus, diyfp v, diyfp m_plus) +{ + JSON_ASSERT(m_plus.e == m_minus.e); + JSON_ASSERT(m_plus.e == v.e); + + // --------(-----------------------+-----------------------)-------- (A) + // m- v m+ + // + // --------------------(-----------+-----------------------)-------- (B) + // m- v m+ + // + // First scale v (and m- and m+) such that the exponent is in the range + // [alpha, gamma]. + + const cached_power cached = get_cached_power_for_binary_exponent(m_plus.e); + + const diyfp c_minus_k(cached.f, cached.e); // = c ~= 10^-k + + // The exponent of the products is = v.e + c_minus_k.e + q and is in the range [alpha,gamma] + const diyfp w = diyfp::mul(v, c_minus_k); + const diyfp w_minus = diyfp::mul(m_minus, c_minus_k); + const diyfp w_plus = diyfp::mul(m_plus, c_minus_k); + + // ----(---+---)---------------(---+---)---------------(---+---)---- + // w- w w+ + // = c*m- = c*v = c*m+ + // + // diyfp::mul rounds its result and c_minus_k is approximated too. w, w- and + // w+ are now off by a small amount. + // In fact: + // + // w - v * 10^k < 1 ulp + // + // To account for this inaccuracy, add resp. subtract 1 ulp. + // + // --------+---[---------------(---+---)---------------]---+-------- + // w- M- w M+ w+ + // + // Now any number in [M-, M+] (bounds included) will round to w when input, + // regardless of how the input rounding algorithm breaks ties. + // + // And digit_gen generates the shortest possible such number in [M-, M+]. + // Note that this does not mean that Grisu2 always generates the shortest + // possible number in the interval (m-, m+). + const diyfp M_minus(w_minus.f + 1, w_minus.e); + const diyfp M_plus (w_plus.f - 1, w_plus.e ); + + decimal_exponent = -cached.k; // = -(-k) = k + + grisu2_digit_gen(buf, len, decimal_exponent, M_minus, w, M_plus); +} + +/*! +v = buf * 10^decimal_exponent +len is the length of the buffer (number of decimal digits) +The buffer must be large enough, i.e. >= max_digits10. +*/ +template +JSON_HEDLEY_NON_NULL(1) +void grisu2(char* buf, int& len, int& decimal_exponent, FloatType value) +{ + static_assert(diyfp::kPrecision >= std::numeric_limits::digits + 3, + "internal error: not enough precision"); + + JSON_ASSERT(std::isfinite(value)); + JSON_ASSERT(value > 0); + + // If the neighbors (and boundaries) of 'value' are always computed for double-precision + // numbers, all float's can be recovered using strtod (and strtof). However, the resulting + // decimal representations are not exactly "short". + // + // The documentation for 'std::to_chars' (https://en.cppreference.com/w/cpp/utility/to_chars) + // says "value is converted to a string as if by std::sprintf in the default ("C") locale" + // and since sprintf promotes floats to doubles, I think this is exactly what 'std::to_chars' + // does. + // On the other hand, the documentation for 'std::to_chars' requires that "parsing the + // representation using the corresponding std::from_chars function recovers value exactly". That + // indicates that single precision floating-point numbers should be recovered using + // 'std::strtof'. + // + // NB: If the neighbors are computed for single-precision numbers, there is a single float + // (7.0385307e-26f) which can't be recovered using strtod. The resulting double precision + // value is off by 1 ulp. +#if 0 + const boundaries w = compute_boundaries(static_cast(value)); +#else + const boundaries w = compute_boundaries(value); +#endif + + grisu2(buf, len, decimal_exponent, w.minus, w.w, w.plus); +} + +/*! +@brief appends a decimal representation of e to buf +@return a pointer to the element following the exponent. +@pre -1000 < e < 1000 +*/ +JSON_HEDLEY_NON_NULL(1) +JSON_HEDLEY_RETURNS_NON_NULL +inline char* append_exponent(char* buf, int e) +{ + JSON_ASSERT(e > -1000); + JSON_ASSERT(e < 1000); + + if (e < 0) + { + e = -e; + *buf++ = '-'; + } + else + { + *buf++ = '+'; + } + + auto k = static_cast(e); + if (k < 10) + { + // Always print at least two digits in the exponent. + // This is for compatibility with printf("%g"). + *buf++ = '0'; + *buf++ = static_cast('0' + k); + } + else if (k < 100) + { + *buf++ = static_cast('0' + k / 10); + k %= 10; + *buf++ = static_cast('0' + k); + } + else + { + *buf++ = static_cast('0' + k / 100); + k %= 100; + *buf++ = static_cast('0' + k / 10); + k %= 10; + *buf++ = static_cast('0' + k); + } + + return buf; +} + +/*! +@brief prettify v = buf * 10^decimal_exponent + +If v is in the range [10^min_exp, 10^max_exp) it will be printed in fixed-point +notation. Otherwise it will be printed in exponential notation. + +@pre min_exp < 0 +@pre max_exp > 0 +*/ +JSON_HEDLEY_NON_NULL(1) +JSON_HEDLEY_RETURNS_NON_NULL +inline char* format_buffer(char* buf, int len, int decimal_exponent, + int min_exp, int max_exp) +{ + JSON_ASSERT(min_exp < 0); + JSON_ASSERT(max_exp > 0); + + const int k = len; + const int n = len + decimal_exponent; + + // v = buf * 10^(n-k) + // k is the length of the buffer (number of decimal digits) + // n is the position of the decimal point relative to the start of the buffer. + + if (k <= n && n <= max_exp) + { + // digits[000] + // len <= max_exp + 2 + + std::memset(buf + k, '0', static_cast(n) - static_cast(k)); + // Make it look like a floating-point number (#362, #378) + buf[n + 0] = '.'; + buf[n + 1] = '0'; + return buf + (static_cast(n) + 2); + } + + if (0 < n && n <= max_exp) + { + // dig.its + // len <= max_digits10 + 1 + + JSON_ASSERT(k > n); + + std::memmove(buf + (static_cast(n) + 1), buf + n, static_cast(k) - static_cast(n)); + buf[n] = '.'; + return buf + (static_cast(k) + 1U); + } + + if (min_exp < n && n <= 0) + { + // 0.[000]digits + // len <= 2 + (-min_exp - 1) + max_digits10 + + std::memmove(buf + (2 + static_cast(-n)), buf, static_cast(k)); + buf[0] = '0'; + buf[1] = '.'; + std::memset(buf + 2, '0', static_cast(-n)); + return buf + (2U + static_cast(-n) + static_cast(k)); + } + + if (k == 1) + { + // dE+123 + // len <= 1 + 5 + + buf += 1; + } + else + { + // d.igitsE+123 + // len <= max_digits10 + 1 + 5 + + std::memmove(buf + 2, buf + 1, static_cast(k) - 1); + buf[1] = '.'; + buf += 1 + static_cast(k); + } + + *buf++ = 'e'; + return append_exponent(buf, n - 1); +} + +} // namespace dtoa_impl + +/*! +@brief generates a decimal representation of the floating-point number value in [first, last). + +The format of the resulting decimal representation is similar to printf's %g +format. Returns an iterator pointing past-the-end of the decimal representation. + +@note The input number must be finite, i.e. NaN's and Inf's are not supported. +@note The buffer must be large enough. +@note The result is NOT null-terminated. +*/ +template +JSON_HEDLEY_NON_NULL(1, 2) +JSON_HEDLEY_RETURNS_NON_NULL +char* to_chars(char* first, const char* last, FloatType value) +{ + static_cast(last); // maybe unused - fix warning + JSON_ASSERT(std::isfinite(value)); + + // Use signbit(value) instead of (value < 0) since signbit works for -0. + if (std::signbit(value)) + { + value = -value; + *first++ = '-'; + } + +#ifdef __GNUC__ +#pragma GCC diagnostic push +#pragma GCC diagnostic ignored "-Wfloat-equal" +#endif + if (value == 0) // +-0 + { + *first++ = '0'; + // Make it look like a floating-point number (#362, #378) + *first++ = '.'; + *first++ = '0'; + return first; + } +#ifdef __GNUC__ +#pragma GCC diagnostic pop +#endif + + JSON_ASSERT(last - first >= std::numeric_limits::max_digits10); + + // Compute v = buffer * 10^decimal_exponent. + // The decimal digits are stored in the buffer, which needs to be interpreted + // as an unsigned decimal integer. + // len is the length of the buffer, i.e. the number of decimal digits. + int len = 0; + int decimal_exponent = 0; + dtoa_impl::grisu2(first, len, decimal_exponent, value); + + JSON_ASSERT(len <= std::numeric_limits::max_digits10); + + // Format the buffer like printf("%.*g", prec, value) + constexpr int kMinExp = -4; + // Use digits10 here to increase compatibility with version 2. + constexpr int kMaxExp = std::numeric_limits::digits10; + + JSON_ASSERT(last - first >= kMaxExp + 2); + JSON_ASSERT(last - first >= 2 + (-kMinExp - 1) + std::numeric_limits::max_digits10); + JSON_ASSERT(last - first >= std::numeric_limits::max_digits10 + 6); + + return dtoa_impl::format_buffer(first, len, decimal_exponent, kMinExp, kMaxExp); +} + +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + +// #include + +// #include + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +/////////////////// +// serialization // +/////////////////// + +/// how to treat decoding errors +enum class error_handler_t +{ + strict, ///< throw a type_error exception in case of invalid UTF-8 + replace, ///< replace invalid UTF-8 sequences with U+FFFD + ignore ///< ignore invalid UTF-8 sequences +}; + +template +class serializer +{ + using string_t = typename BasicJsonType::string_t; + using number_float_t = typename BasicJsonType::number_float_t; + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using binary_char_t = typename BasicJsonType::binary_t::value_type; + static constexpr std::uint8_t UTF8_ACCEPT = 0; + static constexpr std::uint8_t UTF8_REJECT = 1; + + public: + /*! + @param[in] s output stream to serialize to + @param[in] ichar indentation character to use + @param[in] error_handler_ how to react on decoding errors + */ + serializer(output_adapter_t s, const char ichar, + error_handler_t error_handler_ = error_handler_t::strict) + : o(std::move(s)) + , loc(std::localeconv()) + , thousands_sep(loc->thousands_sep == nullptr ? '\0' : std::char_traits::to_char_type(* (loc->thousands_sep))) + , decimal_point(loc->decimal_point == nullptr ? '\0' : std::char_traits::to_char_type(* (loc->decimal_point))) + , indent_char(ichar) + , indent_string(512, indent_char) + , error_handler(error_handler_) + {} + + // delete because of pointer members + serializer(const serializer&) = delete; + serializer& operator=(const serializer&) = delete; + serializer(serializer&&) = delete; + serializer& operator=(serializer&&) = delete; + ~serializer() = default; + + /*! + @brief internal implementation of the serialization function + + This function is called by the public member function dump and organizes + the serialization internally. The indentation level is propagated as + additional parameter. In case of arrays and objects, the function is + called recursively. + + - strings and object keys are escaped using `escape_string()` + - integer numbers are converted implicitly via `operator<<` + - floating-point numbers are converted to a string using `"%g"` format + - binary values are serialized as objects containing the subtype and the + byte array + + @param[in] val value to serialize + @param[in] pretty_print whether the output shall be pretty-printed + @param[in] ensure_ascii If @a ensure_ascii is true, all non-ASCII characters + in the output are escaped with `\uXXXX` sequences, and the result consists + of ASCII characters only. + @param[in] indent_step the indent level + @param[in] current_indent the current indent level (only used internally) + */ + void dump(const BasicJsonType& val, + const bool pretty_print, + const bool ensure_ascii, + const unsigned int indent_step, + const unsigned int current_indent = 0) + { + switch (val.m_type) + { + case value_t::object: + { + if (val.m_value.object->empty()) + { + o->write_characters("{}", 2); + return; + } + + if (pretty_print) + { + o->write_characters("{\n", 2); + + // variable to hold indentation for recursive calls + const auto new_indent = current_indent + indent_step; + if (JSON_HEDLEY_UNLIKELY(indent_string.size() < new_indent)) + { + indent_string.resize(indent_string.size() * 2, ' '); + } + + // first n-1 elements + auto i = val.m_value.object->cbegin(); + for (std::size_t cnt = 0; cnt < val.m_value.object->size() - 1; ++cnt, ++i) + { + o->write_characters(indent_string.c_str(), new_indent); + o->write_character('\"'); + dump_escaped(i->first, ensure_ascii); + o->write_characters("\": ", 3); + dump(i->second, true, ensure_ascii, indent_step, new_indent); + o->write_characters(",\n", 2); + } + + // last element + JSON_ASSERT(i != val.m_value.object->cend()); + JSON_ASSERT(std::next(i) == val.m_value.object->cend()); + o->write_characters(indent_string.c_str(), new_indent); + o->write_character('\"'); + dump_escaped(i->first, ensure_ascii); + o->write_characters("\": ", 3); + dump(i->second, true, ensure_ascii, indent_step, new_indent); + + o->write_character('\n'); + o->write_characters(indent_string.c_str(), current_indent); + o->write_character('}'); + } + else + { + o->write_character('{'); + + // first n-1 elements + auto i = val.m_value.object->cbegin(); + for (std::size_t cnt = 0; cnt < val.m_value.object->size() - 1; ++cnt, ++i) + { + o->write_character('\"'); + dump_escaped(i->first, ensure_ascii); + o->write_characters("\":", 2); + dump(i->second, false, ensure_ascii, indent_step, current_indent); + o->write_character(','); + } + + // last element + JSON_ASSERT(i != val.m_value.object->cend()); + JSON_ASSERT(std::next(i) == val.m_value.object->cend()); + o->write_character('\"'); + dump_escaped(i->first, ensure_ascii); + o->write_characters("\":", 2); + dump(i->second, false, ensure_ascii, indent_step, current_indent); + + o->write_character('}'); + } + + return; + } + + case value_t::array: + { + if (val.m_value.array->empty()) + { + o->write_characters("[]", 2); + return; + } + + if (pretty_print) + { + o->write_characters("[\n", 2); + + // variable to hold indentation for recursive calls + const auto new_indent = current_indent + indent_step; + if (JSON_HEDLEY_UNLIKELY(indent_string.size() < new_indent)) + { + indent_string.resize(indent_string.size() * 2, ' '); + } + + // first n-1 elements + for (auto i = val.m_value.array->cbegin(); + i != val.m_value.array->cend() - 1; ++i) + { + o->write_characters(indent_string.c_str(), new_indent); + dump(*i, true, ensure_ascii, indent_step, new_indent); + o->write_characters(",\n", 2); + } + + // last element + JSON_ASSERT(!val.m_value.array->empty()); + o->write_characters(indent_string.c_str(), new_indent); + dump(val.m_value.array->back(), true, ensure_ascii, indent_step, new_indent); + + o->write_character('\n'); + o->write_characters(indent_string.c_str(), current_indent); + o->write_character(']'); + } + else + { + o->write_character('['); + + // first n-1 elements + for (auto i = val.m_value.array->cbegin(); + i != val.m_value.array->cend() - 1; ++i) + { + dump(*i, false, ensure_ascii, indent_step, current_indent); + o->write_character(','); + } + + // last element + JSON_ASSERT(!val.m_value.array->empty()); + dump(val.m_value.array->back(), false, ensure_ascii, indent_step, current_indent); + + o->write_character(']'); + } + + return; + } + + case value_t::string: + { + o->write_character('\"'); + dump_escaped(*val.m_value.string, ensure_ascii); + o->write_character('\"'); + return; + } + + case value_t::binary: + { + if (pretty_print) + { + o->write_characters("{\n", 2); + + // variable to hold indentation for recursive calls + const auto new_indent = current_indent + indent_step; + if (JSON_HEDLEY_UNLIKELY(indent_string.size() < new_indent)) + { + indent_string.resize(indent_string.size() * 2, ' '); + } + + o->write_characters(indent_string.c_str(), new_indent); + + o->write_characters("\"bytes\": [", 10); + + if (!val.m_value.binary->empty()) + { + for (auto i = val.m_value.binary->cbegin(); + i != val.m_value.binary->cend() - 1; ++i) + { + dump_integer(*i); + o->write_characters(", ", 2); + } + dump_integer(val.m_value.binary->back()); + } + + o->write_characters("],\n", 3); + o->write_characters(indent_string.c_str(), new_indent); + + o->write_characters("\"subtype\": ", 11); + if (val.m_value.binary->has_subtype()) + { + dump_integer(val.m_value.binary->subtype()); + } + else + { + o->write_characters("null", 4); + } + o->write_character('\n'); + o->write_characters(indent_string.c_str(), current_indent); + o->write_character('}'); + } + else + { + o->write_characters("{\"bytes\":[", 10); + + if (!val.m_value.binary->empty()) + { + for (auto i = val.m_value.binary->cbegin(); + i != val.m_value.binary->cend() - 1; ++i) + { + dump_integer(*i); + o->write_character(','); + } + dump_integer(val.m_value.binary->back()); + } + + o->write_characters("],\"subtype\":", 12); + if (val.m_value.binary->has_subtype()) + { + dump_integer(val.m_value.binary->subtype()); + o->write_character('}'); + } + else + { + o->write_characters("null}", 5); + } + } + return; + } + + case value_t::boolean: + { + if (val.m_value.boolean) + { + o->write_characters("true", 4); + } + else + { + o->write_characters("false", 5); + } + return; + } + + case value_t::number_integer: + { + dump_integer(val.m_value.number_integer); + return; + } + + case value_t::number_unsigned: + { + dump_integer(val.m_value.number_unsigned); + return; + } + + case value_t::number_float: + { + dump_float(val.m_value.number_float); + return; + } + + case value_t::discarded: + { + o->write_characters("", 11); + return; + } + + case value_t::null: + { + o->write_characters("null", 4); + return; + } + + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + } + + JSON_PRIVATE_UNLESS_TESTED: + /*! + @brief dump escaped string + + Escape a string by replacing certain special characters by a sequence of an + escape character (backslash) and another character and other control + characters by a sequence of "\u" followed by a four-digit hex + representation. The escaped string is written to output stream @a o. + + @param[in] s the string to escape + @param[in] ensure_ascii whether to escape non-ASCII characters with + \uXXXX sequences + + @complexity Linear in the length of string @a s. + */ + void dump_escaped(const string_t& s, const bool ensure_ascii) + { + std::uint32_t codepoint{}; + std::uint8_t state = UTF8_ACCEPT; + std::size_t bytes = 0; // number of bytes written to string_buffer + + // number of bytes written at the point of the last valid byte + std::size_t bytes_after_last_accept = 0; + std::size_t undumped_chars = 0; + + for (std::size_t i = 0; i < s.size(); ++i) + { + const auto byte = static_cast(s[i]); + + switch (decode(state, codepoint, byte)) + { + case UTF8_ACCEPT: // decode found a new code point + { + switch (codepoint) + { + case 0x08: // backspace + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 'b'; + break; + } + + case 0x09: // horizontal tab + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 't'; + break; + } + + case 0x0A: // newline + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 'n'; + break; + } + + case 0x0C: // formfeed + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 'f'; + break; + } + + case 0x0D: // carriage return + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 'r'; + break; + } + + case 0x22: // quotation mark + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = '\"'; + break; + } + + case 0x5C: // reverse solidus + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = '\\'; + break; + } + + default: + { + // escape control characters (0x00..0x1F) or, if + // ensure_ascii parameter is used, non-ASCII characters + if ((codepoint <= 0x1F) || (ensure_ascii && (codepoint >= 0x7F))) + { + if (codepoint <= 0xFFFF) + { + // NOLINTNEXTLINE(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + static_cast((std::snprintf)(string_buffer.data() + bytes, 7, "\\u%04x", + static_cast(codepoint))); + bytes += 6; + } + else + { + // NOLINTNEXTLINE(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + static_cast((std::snprintf)(string_buffer.data() + bytes, 13, "\\u%04x\\u%04x", + static_cast(0xD7C0u + (codepoint >> 10u)), + static_cast(0xDC00u + (codepoint & 0x3FFu)))); + bytes += 12; + } + } + else + { + // copy byte to buffer (all previous bytes + // been copied have in default case above) + string_buffer[bytes++] = s[i]; + } + break; + } + } + + // write buffer and reset index; there must be 13 bytes + // left, as this is the maximal number of bytes to be + // written ("\uxxxx\uxxxx\0") for one code point + if (string_buffer.size() - bytes < 13) + { + o->write_characters(string_buffer.data(), bytes); + bytes = 0; + } + + // remember the byte position of this accept + bytes_after_last_accept = bytes; + undumped_chars = 0; + break; + } + + case UTF8_REJECT: // decode found invalid UTF-8 byte + { + switch (error_handler) + { + case error_handler_t::strict: + { + JSON_THROW(type_error::create(316, "invalid UTF-8 byte at index " + std::to_string(i) + ": 0x" + hex_bytes(byte | 0), BasicJsonType())); + } + + case error_handler_t::ignore: + case error_handler_t::replace: + { + // in case we saw this character the first time, we + // would like to read it again, because the byte + // may be OK for itself, but just not OK for the + // previous sequence + if (undumped_chars > 0) + { + --i; + } + + // reset length buffer to the last accepted index; + // thus removing/ignoring the invalid characters + bytes = bytes_after_last_accept; + + if (error_handler == error_handler_t::replace) + { + // add a replacement character + if (ensure_ascii) + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 'u'; + string_buffer[bytes++] = 'f'; + string_buffer[bytes++] = 'f'; + string_buffer[bytes++] = 'f'; + string_buffer[bytes++] = 'd'; + } + else + { + string_buffer[bytes++] = detail::binary_writer::to_char_type('\xEF'); + string_buffer[bytes++] = detail::binary_writer::to_char_type('\xBF'); + string_buffer[bytes++] = detail::binary_writer::to_char_type('\xBD'); + } + + // write buffer and reset index; there must be 13 bytes + // left, as this is the maximal number of bytes to be + // written ("\uxxxx\uxxxx\0") for one code point + if (string_buffer.size() - bytes < 13) + { + o->write_characters(string_buffer.data(), bytes); + bytes = 0; + } + + bytes_after_last_accept = bytes; + } + + undumped_chars = 0; + + // continue processing the string + state = UTF8_ACCEPT; + break; + } + + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + break; + } + + default: // decode found yet incomplete multi-byte code point + { + if (!ensure_ascii) + { + // code point will not be escaped - copy byte to buffer + string_buffer[bytes++] = s[i]; + } + ++undumped_chars; + break; + } + } + } + + // we finished processing the string + if (JSON_HEDLEY_LIKELY(state == UTF8_ACCEPT)) + { + // write buffer + if (bytes > 0) + { + o->write_characters(string_buffer.data(), bytes); + } + } + else + { + // we finish reading, but do not accept: string was incomplete + switch (error_handler) + { + case error_handler_t::strict: + { + JSON_THROW(type_error::create(316, "incomplete UTF-8 string; last byte: 0x" + hex_bytes(static_cast(s.back() | 0)), BasicJsonType())); + } + + case error_handler_t::ignore: + { + // write all accepted bytes + o->write_characters(string_buffer.data(), bytes_after_last_accept); + break; + } + + case error_handler_t::replace: + { + // write all accepted bytes + o->write_characters(string_buffer.data(), bytes_after_last_accept); + // add a replacement character + if (ensure_ascii) + { + o->write_characters("\\ufffd", 6); + } + else + { + o->write_characters("\xEF\xBF\xBD", 3); + } + break; + } + + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + } + } + + private: + /*! + @brief count digits + + Count the number of decimal (base 10) digits for an input unsigned integer. + + @param[in] x unsigned integer number to count its digits + @return number of decimal digits + */ + inline unsigned int count_digits(number_unsigned_t x) noexcept + { + unsigned int n_digits = 1; + for (;;) + { + if (x < 10) + { + return n_digits; + } + if (x < 100) + { + return n_digits + 1; + } + if (x < 1000) + { + return n_digits + 2; + } + if (x < 10000) + { + return n_digits + 3; + } + x = x / 10000u; + n_digits += 4; + } + } + + /*! + * @brief convert a byte to a uppercase hex representation + * @param[in] byte byte to represent + * @return representation ("00".."FF") + */ + static std::string hex_bytes(std::uint8_t byte) + { + std::string result = "FF"; + constexpr const char* nibble_to_hex = "0123456789ABCDEF"; + result[0] = nibble_to_hex[byte / 16]; + result[1] = nibble_to_hex[byte % 16]; + return result; + } + + // templates to avoid warnings about useless casts + template ::value, int> = 0> + bool is_negative_number(NumberType x) + { + return x < 0; + } + + template < typename NumberType, enable_if_t ::value, int > = 0 > + bool is_negative_number(NumberType /*unused*/) + { + return false; + } + + /*! + @brief dump an integer + + Dump a given integer to output stream @a o. Works internally with + @a number_buffer. + + @param[in] x integer number (signed or unsigned) to dump + @tparam NumberType either @a number_integer_t or @a number_unsigned_t + */ + template < typename NumberType, detail::enable_if_t < + std::is_integral::value || + std::is_same::value || + std::is_same::value || + std::is_same::value, + int > = 0 > + void dump_integer(NumberType x) + { + static constexpr std::array, 100> digits_to_99 + { + { + {{'0', '0'}}, {{'0', '1'}}, {{'0', '2'}}, {{'0', '3'}}, {{'0', '4'}}, {{'0', '5'}}, {{'0', '6'}}, {{'0', '7'}}, {{'0', '8'}}, {{'0', '9'}}, + {{'1', '0'}}, {{'1', '1'}}, {{'1', '2'}}, {{'1', '3'}}, {{'1', '4'}}, {{'1', '5'}}, {{'1', '6'}}, {{'1', '7'}}, {{'1', '8'}}, {{'1', '9'}}, + {{'2', '0'}}, {{'2', '1'}}, {{'2', '2'}}, {{'2', '3'}}, {{'2', '4'}}, {{'2', '5'}}, {{'2', '6'}}, {{'2', '7'}}, {{'2', '8'}}, {{'2', '9'}}, + {{'3', '0'}}, {{'3', '1'}}, {{'3', '2'}}, {{'3', '3'}}, {{'3', '4'}}, {{'3', '5'}}, {{'3', '6'}}, {{'3', '7'}}, {{'3', '8'}}, {{'3', '9'}}, + {{'4', '0'}}, {{'4', '1'}}, {{'4', '2'}}, {{'4', '3'}}, {{'4', '4'}}, {{'4', '5'}}, {{'4', '6'}}, {{'4', '7'}}, {{'4', '8'}}, {{'4', '9'}}, + {{'5', '0'}}, {{'5', '1'}}, {{'5', '2'}}, {{'5', '3'}}, {{'5', '4'}}, {{'5', '5'}}, {{'5', '6'}}, {{'5', '7'}}, {{'5', '8'}}, {{'5', '9'}}, + {{'6', '0'}}, {{'6', '1'}}, {{'6', '2'}}, {{'6', '3'}}, {{'6', '4'}}, {{'6', '5'}}, {{'6', '6'}}, {{'6', '7'}}, {{'6', '8'}}, {{'6', '9'}}, + {{'7', '0'}}, {{'7', '1'}}, {{'7', '2'}}, {{'7', '3'}}, {{'7', '4'}}, {{'7', '5'}}, {{'7', '6'}}, {{'7', '7'}}, {{'7', '8'}}, {{'7', '9'}}, + {{'8', '0'}}, {{'8', '1'}}, {{'8', '2'}}, {{'8', '3'}}, {{'8', '4'}}, {{'8', '5'}}, {{'8', '6'}}, {{'8', '7'}}, {{'8', '8'}}, {{'8', '9'}}, + {{'9', '0'}}, {{'9', '1'}}, {{'9', '2'}}, {{'9', '3'}}, {{'9', '4'}}, {{'9', '5'}}, {{'9', '6'}}, {{'9', '7'}}, {{'9', '8'}}, {{'9', '9'}}, + } + }; + + // special case for "0" + if (x == 0) + { + o->write_character('0'); + return; + } + + // use a pointer to fill the buffer + auto buffer_ptr = number_buffer.begin(); // NOLINT(llvm-qualified-auto,readability-qualified-auto,cppcoreguidelines-pro-type-vararg,hicpp-vararg) + + number_unsigned_t abs_value; + + unsigned int n_chars{}; + + if (is_negative_number(x)) + { + *buffer_ptr = '-'; + abs_value = remove_sign(static_cast(x)); + + // account one more byte for the minus sign + n_chars = 1 + count_digits(abs_value); + } + else + { + abs_value = static_cast(x); + n_chars = count_digits(abs_value); + } + + // spare 1 byte for '\0' + JSON_ASSERT(n_chars < number_buffer.size() - 1); + + // jump to the end to generate the string from backward, + // so we later avoid reversing the result + buffer_ptr += n_chars; + + // Fast int2ascii implementation inspired by "Fastware" talk by Andrei Alexandrescu + // See: https://www.youtube.com/watch?v=o4-CwDo2zpg + while (abs_value >= 100) + { + const auto digits_index = static_cast((abs_value % 100)); + abs_value /= 100; + *(--buffer_ptr) = digits_to_99[digits_index][1]; + *(--buffer_ptr) = digits_to_99[digits_index][0]; + } + + if (abs_value >= 10) + { + const auto digits_index = static_cast(abs_value); + *(--buffer_ptr) = digits_to_99[digits_index][1]; + *(--buffer_ptr) = digits_to_99[digits_index][0]; + } + else + { + *(--buffer_ptr) = static_cast('0' + abs_value); + } + + o->write_characters(number_buffer.data(), n_chars); + } + + /*! + @brief dump a floating-point number + + Dump a given floating-point number to output stream @a o. Works internally + with @a number_buffer. + + @param[in] x floating-point number to dump + */ + void dump_float(number_float_t x) + { + // NaN / inf + if (!std::isfinite(x)) + { + o->write_characters("null", 4); + return; + } + + // If number_float_t is an IEEE-754 single or double precision number, + // use the Grisu2 algorithm to produce short numbers which are + // guaranteed to round-trip, using strtof and strtod, resp. + // + // NB: The test below works if == . + static constexpr bool is_ieee_single_or_double + = (std::numeric_limits::is_iec559 && std::numeric_limits::digits == 24 && std::numeric_limits::max_exponent == 128) || + (std::numeric_limits::is_iec559 && std::numeric_limits::digits == 53 && std::numeric_limits::max_exponent == 1024); + + dump_float(x, std::integral_constant()); + } + + void dump_float(number_float_t x, std::true_type /*is_ieee_single_or_double*/) + { + auto* begin = number_buffer.data(); + auto* end = ::nlohmann::detail::to_chars(begin, begin + number_buffer.size(), x); + + o->write_characters(begin, static_cast(end - begin)); + } + + void dump_float(number_float_t x, std::false_type /*is_ieee_single_or_double*/) + { + // get number of digits for a float -> text -> float round-trip + static constexpr auto d = std::numeric_limits::max_digits10; + + // the actual conversion + // NOLINTNEXTLINE(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + std::ptrdiff_t len = (std::snprintf)(number_buffer.data(), number_buffer.size(), "%.*g", d, x); + + // negative value indicates an error + JSON_ASSERT(len > 0); + // check if buffer was large enough + JSON_ASSERT(static_cast(len) < number_buffer.size()); + + // erase thousands separator + if (thousands_sep != '\0') + { + // NOLINTNEXTLINE(readability-qualified-auto,llvm-qualified-auto): std::remove returns an iterator, see https://github.com/nlohmann/json/issues/3081 + const auto end = std::remove(number_buffer.begin(), number_buffer.begin() + len, thousands_sep); + std::fill(end, number_buffer.end(), '\0'); + JSON_ASSERT((end - number_buffer.begin()) <= len); + len = (end - number_buffer.begin()); + } + + // convert decimal point to '.' + if (decimal_point != '\0' && decimal_point != '.') + { + // NOLINTNEXTLINE(readability-qualified-auto,llvm-qualified-auto): std::find returns an iterator, see https://github.com/nlohmann/json/issues/3081 + const auto dec_pos = std::find(number_buffer.begin(), number_buffer.end(), decimal_point); + if (dec_pos != number_buffer.end()) + { + *dec_pos = '.'; + } + } + + o->write_characters(number_buffer.data(), static_cast(len)); + + // determine if we need to append ".0" + const bool value_is_int_like = + std::none_of(number_buffer.begin(), number_buffer.begin() + len + 1, + [](char c) + { + return c == '.' || c == 'e'; + }); + + if (value_is_int_like) + { + o->write_characters(".0", 2); + } + } + + /*! + @brief check whether a string is UTF-8 encoded + + The function checks each byte of a string whether it is UTF-8 encoded. The + result of the check is stored in the @a state parameter. The function must + be called initially with state 0 (accept). State 1 means the string must + be rejected, because the current byte is not allowed. If the string is + completely processed, but the state is non-zero, the string ended + prematurely; that is, the last byte indicated more bytes should have + followed. + + @param[in,out] state the state of the decoding + @param[in,out] codep codepoint (valid only if resulting state is UTF8_ACCEPT) + @param[in] byte next byte to decode + @return new state + + @note The function has been edited: a std::array is used. + + @copyright Copyright (c) 2008-2009 Bjoern Hoehrmann + @sa http://bjoern.hoehrmann.de/utf-8/decoder/dfa/ + */ + static std::uint8_t decode(std::uint8_t& state, std::uint32_t& codep, const std::uint8_t byte) noexcept + { + static const std::array utf8d = + { + { + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 00..1F + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 20..3F + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 40..5F + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 60..7F + 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, // 80..9F + 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, // A0..BF + 8, 8, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, // C0..DF + 0xA, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x4, 0x3, 0x3, // E0..EF + 0xB, 0x6, 0x6, 0x6, 0x5, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, // F0..FF + 0x0, 0x1, 0x2, 0x3, 0x5, 0x8, 0x7, 0x1, 0x1, 0x1, 0x4, 0x6, 0x1, 0x1, 0x1, 0x1, // s0..s0 + 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 0, 1, 0, 1, 1, 1, 1, 1, 1, // s1..s2 + 1, 2, 1, 1, 1, 1, 1, 2, 1, 2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 1, 1, 1, 1, 1, 1, 1, 1, // s3..s4 + 1, 2, 1, 1, 1, 1, 1, 1, 1, 2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 3, 1, 3, 1, 1, 1, 1, 1, 1, // s5..s6 + 1, 3, 1, 1, 1, 1, 1, 3, 1, 3, 1, 1, 1, 1, 1, 1, 1, 3, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 // s7..s8 + } + }; + + JSON_ASSERT(byte < utf8d.size()); + const std::uint8_t type = utf8d[byte]; + + codep = (state != UTF8_ACCEPT) + ? (byte & 0x3fu) | (codep << 6u) + : (0xFFu >> type) & (byte); + + std::size_t index = 256u + static_cast(state) * 16u + static_cast(type); + JSON_ASSERT(index < 400); + state = utf8d[index]; + return state; + } + + /* + * Overload to make the compiler happy while it is instantiating + * dump_integer for number_unsigned_t. + * Must never be called. + */ + number_unsigned_t remove_sign(number_unsigned_t x) + { + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + return x; // LCOV_EXCL_LINE + } + + /* + * Helper function for dump_integer + * + * This function takes a negative signed integer and returns its absolute + * value as unsigned integer. The plus/minus shuffling is necessary as we can + * not directly remove the sign of an arbitrary signed integer as the + * absolute values of INT_MIN and INT_MAX are usually not the same. See + * #1708 for details. + */ + inline number_unsigned_t remove_sign(number_integer_t x) noexcept + { + JSON_ASSERT(x < 0 && x < (std::numeric_limits::max)()); // NOLINT(misc-redundant-expression) + return static_cast(-(x + 1)) + 1; + } + + private: + /// the output of the serializer + output_adapter_t o = nullptr; + + /// a (hopefully) large enough character buffer + std::array number_buffer{{}}; + + /// the locale + const std::lconv* loc = nullptr; + /// the locale's thousand separator character + const char thousands_sep = '\0'; + /// the locale's decimal point character + const char decimal_point = '\0'; + + /// string buffer + std::array string_buffer{{}}; + + /// the indentation character + const char indent_char; + /// the indentation string + string_t indent_string; + + /// error_handler how to react on decoding errors + const error_handler_t error_handler; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + +// #include + + +#include // less +#include // initializer_list +#include // input_iterator_tag, iterator_traits +#include // allocator +#include // for out_of_range +#include // enable_if, is_convertible +#include // pair +#include // vector + +// #include + + +namespace nlohmann +{ + +/// ordered_map: a minimal map-like container that preserves insertion order +/// for use within nlohmann::basic_json +template , + class Allocator = std::allocator>> + struct ordered_map : std::vector, Allocator> +{ + using key_type = Key; + using mapped_type = T; + using Container = std::vector, Allocator>; + using iterator = typename Container::iterator; + using const_iterator = typename Container::const_iterator; + using size_type = typename Container::size_type; + using value_type = typename Container::value_type; + + // Explicit constructors instead of `using Container::Container` + // otherwise older compilers choke on it (GCC <= 5.5, xcode <= 9.4) + ordered_map() noexcept(noexcept(Container())) : Container{} {} + explicit ordered_map(const Allocator& alloc) noexcept(noexcept(Container(alloc))) : Container{alloc} {} + template + ordered_map(It first, It last, const Allocator& alloc = Allocator()) + : Container{first, last, alloc} {} + ordered_map(std::initializer_list init, const Allocator& alloc = Allocator() ) + : Container{init, alloc} {} + + std::pair emplace(const key_type& key, T&& t) + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return {it, false}; + } + } + Container::emplace_back(key, t); + return {--this->end(), true}; + } + + T& operator[](const Key& key) + { + return emplace(key, T{}).first->second; + } + + const T& operator[](const Key& key) const + { + return at(key); + } + + T& at(const Key& key) + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return it->second; + } + } + + JSON_THROW(std::out_of_range("key not found")); + } + + const T& at(const Key& key) const + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return it->second; + } + } + + JSON_THROW(std::out_of_range("key not found")); + } + + size_type erase(const Key& key) + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + // Since we cannot move const Keys, re-construct them in place + for (auto next = it; ++next != this->end(); ++it) + { + it->~value_type(); // Destroy but keep allocation + new (&*it) value_type{std::move(*next)}; + } + Container::pop_back(); + return 1; + } + } + return 0; + } + + iterator erase(iterator pos) + { + return erase(pos, std::next(pos)); + } + + iterator erase(iterator first, iterator last) + { + const auto elements_affected = std::distance(first, last); + const auto offset = std::distance(Container::begin(), first); + + // This is the start situation. We need to delete elements_affected + // elements (3 in this example: e, f, g), and need to return an + // iterator past the last deleted element (h in this example). + // Note that offset is the distance from the start of the vector + // to first. We will need this later. + + // [ a, b, c, d, e, f, g, h, i, j ] + // ^ ^ + // first last + + // Since we cannot move const Keys, we re-construct them in place. + // We start at first and re-construct (viz. copy) the elements from + // the back of the vector. Example for first iteration: + + // ,--------. + // v | destroy e and re-construct with h + // [ a, b, c, d, e, f, g, h, i, j ] + // ^ ^ + // it it + elements_affected + + for (auto it = first; std::next(it, elements_affected) != Container::end(); ++it) + { + it->~value_type(); // destroy but keep allocation + new (&*it) value_type{std::move(*std::next(it, elements_affected))}; // "move" next element to it + } + + // [ a, b, c, d, h, i, j, h, i, j ] + // ^ ^ + // first last + + // remove the unneeded elements at the end of the vector + Container::resize(this->size() - static_cast(elements_affected)); + + // [ a, b, c, d, h, i, j ] + // ^ ^ + // first last + + // first is now pointing past the last deleted element, but we cannot + // use this iterator, because it may have been invalidated by the + // resize call. Instead, we can return begin() + offset. + return Container::begin() + offset; + } + + size_type count(const Key& key) const + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return 1; + } + } + return 0; + } + + iterator find(const Key& key) + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return it; + } + } + return Container::end(); + } + + const_iterator find(const Key& key) const + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return it; + } + } + return Container::end(); + } + + std::pair insert( value_type&& value ) + { + return emplace(value.first, std::move(value.second)); + } + + std::pair insert( const value_type& value ) + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == value.first) + { + return {it, false}; + } + } + Container::push_back(value); + return {--this->end(), true}; + } + + template + using require_input_iter = typename std::enable_if::iterator_category, + std::input_iterator_tag>::value>::type; + + template> + void insert(InputIt first, InputIt last) + { + for (auto it = first; it != last; ++it) + { + insert(*it); + } + } +}; + +} // namespace nlohmann + + +#if defined(JSON_HAS_CPP_17) + #include +#endif + +/*! +@brief namespace for Niels Lohmann +@see https://github.com/nlohmann +@since version 1.0.0 +*/ +namespace nlohmann +{ + +/*! +@brief a class to store JSON values + +@internal +@invariant The member variables @a m_value and @a m_type have the following +relationship: +- If `m_type == value_t::object`, then `m_value.object != nullptr`. +- If `m_type == value_t::array`, then `m_value.array != nullptr`. +- If `m_type == value_t::string`, then `m_value.string != nullptr`. +The invariants are checked by member function assert_invariant(). + +@note ObjectType trick from https://stackoverflow.com/a/9860911 +@endinternal + +@since version 1.0.0 + +@nosubgrouping +*/ +NLOHMANN_BASIC_JSON_TPL_DECLARATION +class basic_json // NOLINT(cppcoreguidelines-special-member-functions,hicpp-special-member-functions) +{ + private: + template friend struct detail::external_constructor; + friend ::nlohmann::json_pointer; + + template + friend class ::nlohmann::detail::parser; + friend ::nlohmann::detail::serializer; + template + friend class ::nlohmann::detail::iter_impl; + template + friend class ::nlohmann::detail::binary_writer; + template + friend class ::nlohmann::detail::binary_reader; + template + friend class ::nlohmann::detail::json_sax_dom_parser; + template + friend class ::nlohmann::detail::json_sax_dom_callback_parser; + friend class ::nlohmann::detail::exception; + + /// workaround type for MSVC + using basic_json_t = NLOHMANN_BASIC_JSON_TPL; + + JSON_PRIVATE_UNLESS_TESTED: + // convenience aliases for types residing in namespace detail; + using lexer = ::nlohmann::detail::lexer_base; + + template + static ::nlohmann::detail::parser parser( + InputAdapterType adapter, + detail::parser_callback_tcb = nullptr, + const bool allow_exceptions = true, + const bool ignore_comments = false + ) + { + return ::nlohmann::detail::parser(std::move(adapter), + std::move(cb), allow_exceptions, ignore_comments); + } + + private: + using primitive_iterator_t = ::nlohmann::detail::primitive_iterator_t; + template + using internal_iterator = ::nlohmann::detail::internal_iterator; + template + using iter_impl = ::nlohmann::detail::iter_impl; + template + using iteration_proxy = ::nlohmann::detail::iteration_proxy; + template using json_reverse_iterator = ::nlohmann::detail::json_reverse_iterator; + + template + using output_adapter_t = ::nlohmann::detail::output_adapter_t; + + template + using binary_reader = ::nlohmann::detail::binary_reader; + template using binary_writer = ::nlohmann::detail::binary_writer; + + JSON_PRIVATE_UNLESS_TESTED: + using serializer = ::nlohmann::detail::serializer; + + public: + using value_t = detail::value_t; + /// JSON Pointer, see @ref nlohmann::json_pointer + using json_pointer = ::nlohmann::json_pointer; + template + using json_serializer = JSONSerializer; + /// how to treat decoding errors + using error_handler_t = detail::error_handler_t; + /// how to treat CBOR tags + using cbor_tag_handler_t = detail::cbor_tag_handler_t; + /// helper type for initializer lists of basic_json values + using initializer_list_t = std::initializer_list>; + + using input_format_t = detail::input_format_t; + /// SAX interface type, see @ref nlohmann::json_sax + using json_sax_t = json_sax; + + //////////////// + // exceptions // + //////////////// + + /// @name exceptions + /// Classes to implement user-defined exceptions. + /// @{ + + using exception = detail::exception; + using parse_error = detail::parse_error; + using invalid_iterator = detail::invalid_iterator; + using type_error = detail::type_error; + using out_of_range = detail::out_of_range; + using other_error = detail::other_error; + + /// @} + + + ///////////////////// + // container types // + ///////////////////// + + /// @name container types + /// The canonic container types to use @ref basic_json like any other STL + /// container. + /// @{ + + /// the type of elements in a basic_json container + using value_type = basic_json; + + /// the type of an element reference + using reference = value_type&; + /// the type of an element const reference + using const_reference = const value_type&; + + /// a type to represent differences between iterators + using difference_type = std::ptrdiff_t; + /// a type to represent container sizes + using size_type = std::size_t; + + /// the allocator type + using allocator_type = AllocatorType; + + /// the type of an element pointer + using pointer = typename std::allocator_traits::pointer; + /// the type of an element const pointer + using const_pointer = typename std::allocator_traits::const_pointer; + + /// an iterator for a basic_json container + using iterator = iter_impl; + /// a const iterator for a basic_json container + using const_iterator = iter_impl; + /// a reverse iterator for a basic_json container + using reverse_iterator = json_reverse_iterator; + /// a const reverse iterator for a basic_json container + using const_reverse_iterator = json_reverse_iterator; + + /// @} + + + /// @brief returns the allocator associated with the container + /// @sa https://json.nlohmann.me/api/basic_json/get_allocator/ + static allocator_type get_allocator() + { + return allocator_type(); + } + + /// @brief returns version information on the library + /// @sa https://json.nlohmann.me/api/basic_json/meta/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json meta() + { + basic_json result; + + result["copyright"] = "(C) 2013-2022 Niels Lohmann"; + result["name"] = "JSON for Modern C++"; + result["url"] = "https://github.com/nlohmann/json"; + result["version"]["string"] = + std::to_string(NLOHMANN_JSON_VERSION_MAJOR) + "." + + std::to_string(NLOHMANN_JSON_VERSION_MINOR) + "." + + std::to_string(NLOHMANN_JSON_VERSION_PATCH); + result["version"]["major"] = NLOHMANN_JSON_VERSION_MAJOR; + result["version"]["minor"] = NLOHMANN_JSON_VERSION_MINOR; + result["version"]["patch"] = NLOHMANN_JSON_VERSION_PATCH; + +#ifdef _WIN32 + result["platform"] = "win32"; +#elif defined __linux__ + result["platform"] = "linux"; +#elif defined __APPLE__ + result["platform"] = "apple"; +#elif defined __unix__ + result["platform"] = "unix"; +#else + result["platform"] = "unknown"; +#endif + +#if defined(__ICC) || defined(__INTEL_COMPILER) + result["compiler"] = {{"family", "icc"}, {"version", __INTEL_COMPILER}}; +#elif defined(__clang__) + result["compiler"] = {{"family", "clang"}, {"version", __clang_version__}}; +#elif defined(__GNUC__) || defined(__GNUG__) + result["compiler"] = {{"family", "gcc"}, {"version", std::to_string(__GNUC__) + "." + std::to_string(__GNUC_MINOR__) + "." + std::to_string(__GNUC_PATCHLEVEL__)}}; +#elif defined(__HP_cc) || defined(__HP_aCC) + result["compiler"] = "hp" +#elif defined(__IBMCPP__) + result["compiler"] = {{"family", "ilecpp"}, {"version", __IBMCPP__}}; +#elif defined(_MSC_VER) + result["compiler"] = {{"family", "msvc"}, {"version", _MSC_VER}}; +#elif defined(__PGI) + result["compiler"] = {{"family", "pgcpp"}, {"version", __PGI}}; +#elif defined(__SUNPRO_CC) + result["compiler"] = {{"family", "sunpro"}, {"version", __SUNPRO_CC}}; +#else + result["compiler"] = {{"family", "unknown"}, {"version", "unknown"}}; +#endif + +#ifdef __cplusplus + result["compiler"]["c++"] = std::to_string(__cplusplus); +#else + result["compiler"]["c++"] = "unknown"; +#endif + return result; + } + + + /////////////////////////// + // JSON value data types // + /////////////////////////// + + /// @name JSON value data types + /// The data types to store a JSON value. These types are derived from + /// the template arguments passed to class @ref basic_json. + /// @{ + + /// @brief object key comparator type + /// @sa https://json.nlohmann.me/api/basic_json/object_comparator_t/ +#if defined(JSON_HAS_CPP_14) + // Use transparent comparator if possible, combined with perfect forwarding + // on find() and count() calls prevents unnecessary string construction. + using object_comparator_t = std::less<>; +#else + using object_comparator_t = std::less; +#endif + + /// @brief a type for an object + /// @sa https://json.nlohmann.me/api/basic_json/object_t/ + using object_t = ObjectType>>; + + /// @brief a type for an array + /// @sa https://json.nlohmann.me/api/basic_json/array_t/ + using array_t = ArrayType>; + + /// @brief a type for a string + /// @sa https://json.nlohmann.me/api/basic_json/string_t/ + using string_t = StringType; + + /// @brief a type for a boolean + /// @sa https://json.nlohmann.me/api/basic_json/boolean_t/ + using boolean_t = BooleanType; + + /// @brief a type for a number (integer) + /// @sa https://json.nlohmann.me/api/basic_json/number_integer_t/ + using number_integer_t = NumberIntegerType; + + /// @brief a type for a number (unsigned) + /// @sa https://json.nlohmann.me/api/basic_json/number_unsigned_t/ + using number_unsigned_t = NumberUnsignedType; + + /// @brief a type for a number (floating-point) + /// @sa https://json.nlohmann.me/api/basic_json/number_float_t/ + using number_float_t = NumberFloatType; + + /// @brief a type for a packed binary type + /// @sa https://json.nlohmann.me/api/basic_json/binary_t/ + using binary_t = nlohmann::byte_container_with_subtype; + + /// @} + + private: + + /// helper for exception-safe object creation + template + JSON_HEDLEY_RETURNS_NON_NULL + static T* create(Args&& ... args) + { + AllocatorType alloc; + using AllocatorTraits = std::allocator_traits>; + + auto deleter = [&](T * obj) + { + AllocatorTraits::deallocate(alloc, obj, 1); + }; + std::unique_ptr obj(AllocatorTraits::allocate(alloc, 1), deleter); + AllocatorTraits::construct(alloc, obj.get(), std::forward(args)...); + JSON_ASSERT(obj != nullptr); + return obj.release(); + } + + //////////////////////// + // JSON value storage // + //////////////////////// + + JSON_PRIVATE_UNLESS_TESTED: + /*! + @brief a JSON value + + The actual storage for a JSON value of the @ref basic_json class. This + union combines the different storage types for the JSON value types + defined in @ref value_t. + + JSON type | value_t type | used type + --------- | --------------- | ------------------------ + object | object | pointer to @ref object_t + array | array | pointer to @ref array_t + string | string | pointer to @ref string_t + boolean | boolean | @ref boolean_t + number | number_integer | @ref number_integer_t + number | number_unsigned | @ref number_unsigned_t + number | number_float | @ref number_float_t + binary | binary | pointer to @ref binary_t + null | null | *no value is stored* + + @note Variable-length types (objects, arrays, and strings) are stored as + pointers. The size of the union should not exceed 64 bits if the default + value types are used. + + @since version 1.0.0 + */ + union json_value + { + /// object (stored with pointer to save storage) + object_t* object; + /// array (stored with pointer to save storage) + array_t* array; + /// string (stored with pointer to save storage) + string_t* string; + /// binary (stored with pointer to save storage) + binary_t* binary; + /// boolean + boolean_t boolean; + /// number (integer) + number_integer_t number_integer; + /// number (unsigned integer) + number_unsigned_t number_unsigned; + /// number (floating-point) + number_float_t number_float; + + /// default constructor (for null values) + json_value() = default; + /// constructor for booleans + json_value(boolean_t v) noexcept : boolean(v) {} + /// constructor for numbers (integer) + json_value(number_integer_t v) noexcept : number_integer(v) {} + /// constructor for numbers (unsigned) + json_value(number_unsigned_t v) noexcept : number_unsigned(v) {} + /// constructor for numbers (floating-point) + json_value(number_float_t v) noexcept : number_float(v) {} + /// constructor for empty values of a given type + json_value(value_t t) + { + switch (t) + { + case value_t::object: + { + object = create(); + break; + } + + case value_t::array: + { + array = create(); + break; + } + + case value_t::string: + { + string = create(""); + break; + } + + case value_t::binary: + { + binary = create(); + break; + } + + case value_t::boolean: + { + boolean = static_cast(false); + break; + } + + case value_t::number_integer: + { + number_integer = static_cast(0); + break; + } + + case value_t::number_unsigned: + { + number_unsigned = static_cast(0); + break; + } + + case value_t::number_float: + { + number_float = static_cast(0.0); + break; + } + + case value_t::null: + { + object = nullptr; // silence warning, see #821 + break; + } + + case value_t::discarded: + default: + { + object = nullptr; // silence warning, see #821 + if (JSON_HEDLEY_UNLIKELY(t == value_t::null)) + { + JSON_THROW(other_error::create(500, "961c151d2e87f2686a955a9be24d316f1362bf21 3.10.5", basic_json())); // LCOV_EXCL_LINE + } + break; + } + } + } + + /// constructor for strings + json_value(const string_t& value) : string(create(value)) {} + + /// constructor for rvalue strings + json_value(string_t&& value) : string(create(std::move(value))) {} + + /// constructor for objects + json_value(const object_t& value) : object(create(value)) {} + + /// constructor for rvalue objects + json_value(object_t&& value) : object(create(std::move(value))) {} + + /// constructor for arrays + json_value(const array_t& value) : array(create(value)) {} + + /// constructor for rvalue arrays + json_value(array_t&& value) : array(create(std::move(value))) {} + + /// constructor for binary arrays + json_value(const typename binary_t::container_type& value) : binary(create(value)) {} + + /// constructor for rvalue binary arrays + json_value(typename binary_t::container_type&& value) : binary(create(std::move(value))) {} + + /// constructor for binary arrays (internal type) + json_value(const binary_t& value) : binary(create(value)) {} + + /// constructor for rvalue binary arrays (internal type) + json_value(binary_t&& value) : binary(create(std::move(value))) {} + + void destroy(value_t t) + { + if (t == value_t::array || t == value_t::object) + { + // flatten the current json_value to a heap-allocated stack + std::vector stack; + + // move the top-level items to stack + if (t == value_t::array) + { + stack.reserve(array->size()); + std::move(array->begin(), array->end(), std::back_inserter(stack)); + } + else + { + stack.reserve(object->size()); + for (auto&& it : *object) + { + stack.push_back(std::move(it.second)); + } + } + + while (!stack.empty()) + { + // move the last item to local variable to be processed + basic_json current_item(std::move(stack.back())); + stack.pop_back(); + + // if current_item is array/object, move + // its children to the stack to be processed later + if (current_item.is_array()) + { + std::move(current_item.m_value.array->begin(), current_item.m_value.array->end(), std::back_inserter(stack)); + + current_item.m_value.array->clear(); + } + else if (current_item.is_object()) + { + for (auto&& it : *current_item.m_value.object) + { + stack.push_back(std::move(it.second)); + } + + current_item.m_value.object->clear(); + } + + // it's now safe that current_item get destructed + // since it doesn't have any children + } + } + + switch (t) + { + case value_t::object: + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, object); + std::allocator_traits::deallocate(alloc, object, 1); + break; + } + + case value_t::array: + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, array); + std::allocator_traits::deallocate(alloc, array, 1); + break; + } + + case value_t::string: + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, string); + std::allocator_traits::deallocate(alloc, string, 1); + break; + } + + case value_t::binary: + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, binary); + std::allocator_traits::deallocate(alloc, binary, 1); + break; + } + + case value_t::null: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::discarded: + default: + { + break; + } + } + } + }; + + private: + /*! + @brief checks the class invariants + + This function asserts the class invariants. It needs to be called at the + end of every constructor to make sure that created objects respect the + invariant. Furthermore, it has to be called each time the type of a JSON + value is changed, because the invariant expresses a relationship between + @a m_type and @a m_value. + + Furthermore, the parent relation is checked for arrays and objects: If + @a check_parents true and the value is an array or object, then the + container's elements must have the current value as parent. + + @param[in] check_parents whether the parent relation should be checked. + The value is true by default and should only be set to false + during destruction of objects when the invariant does not + need to hold. + */ + void assert_invariant(bool check_parents = true) const noexcept + { + JSON_ASSERT(m_type != value_t::object || m_value.object != nullptr); + JSON_ASSERT(m_type != value_t::array || m_value.array != nullptr); + JSON_ASSERT(m_type != value_t::string || m_value.string != nullptr); + JSON_ASSERT(m_type != value_t::binary || m_value.binary != nullptr); + +#if JSON_DIAGNOSTICS + JSON_TRY + { + // cppcheck-suppress assertWithSideEffect + JSON_ASSERT(!check_parents || !is_structured() || std::all_of(begin(), end(), [this](const basic_json & j) + { + return j.m_parent == this; + })); + } + JSON_CATCH(...) {} // LCOV_EXCL_LINE +#endif + static_cast(check_parents); + } + + void set_parents() + { +#if JSON_DIAGNOSTICS + switch (m_type) + { + case value_t::array: + { + for (auto& element : *m_value.array) + { + element.m_parent = this; + } + break; + } + + case value_t::object: + { + for (auto& element : *m_value.object) + { + element.second.m_parent = this; + } + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + break; + } +#endif + } + + iterator set_parents(iterator it, typename iterator::difference_type count_set_parents) + { +#if JSON_DIAGNOSTICS + for (typename iterator::difference_type i = 0; i < count_set_parents; ++i) + { + (it + i)->m_parent = this; + } +#else + static_cast(count_set_parents); +#endif + return it; + } + + reference set_parent(reference j, std::size_t old_capacity = static_cast(-1)) + { +#if JSON_DIAGNOSTICS + if (old_capacity != static_cast(-1)) + { + // see https://github.com/nlohmann/json/issues/2838 + JSON_ASSERT(type() == value_t::array); + if (JSON_HEDLEY_UNLIKELY(m_value.array->capacity() != old_capacity)) + { + // capacity has changed: update all parents + set_parents(); + return j; + } + } + + // ordered_json uses a vector internally, so pointers could have + // been invalidated; see https://github.com/nlohmann/json/issues/2962 +#ifdef JSON_HEDLEY_MSVC_VERSION +#pragma warning(push ) +#pragma warning(disable : 4127) // ignore warning to replace if with if constexpr +#endif + if (detail::is_ordered_map::value) + { + set_parents(); + return j; + } +#ifdef JSON_HEDLEY_MSVC_VERSION +#pragma warning( pop ) +#endif + + j.m_parent = this; +#else + static_cast(j); + static_cast(old_capacity); +#endif + return j; + } + + public: + ////////////////////////// + // JSON parser callback // + ////////////////////////// + + /// @brief parser event types + /// @sa https://json.nlohmann.me/api/basic_json/parse_event_t/ + using parse_event_t = detail::parse_event_t; + + /// @brief per-element parser callback type + /// @sa https://json.nlohmann.me/api/basic_json/parser_callback_t/ + using parser_callback_t = detail::parser_callback_t; + + ////////////////// + // constructors // + ////////////////// + + /// @name constructors and destructors + /// Constructors of class @ref basic_json, copy/move constructor, copy + /// assignment, static functions creating objects, and the destructor. + /// @{ + + /// @brief create an empty value with a given type + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(const value_t v) + : m_type(v), m_value(v) + { + assert_invariant(); + } + + /// @brief create a null object + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(std::nullptr_t = nullptr) noexcept + : basic_json(value_t::null) + { + assert_invariant(); + } + + /// @brief create a JSON value from compatible types + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + template < typename CompatibleType, + typename U = detail::uncvref_t, + detail::enable_if_t < + !detail::is_basic_json::value && detail::is_compatible_type::value, int > = 0 > + basic_json(CompatibleType && val) noexcept(noexcept( // NOLINT(bugprone-forwarding-reference-overload,bugprone-exception-escape) + JSONSerializer::to_json(std::declval(), + std::forward(val)))) + { + JSONSerializer::to_json(*this, std::forward(val)); + set_parents(); + assert_invariant(); + } + + /// @brief create a JSON value from an existing one + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + template < typename BasicJsonType, + detail::enable_if_t < + detail::is_basic_json::value&& !std::is_same::value, int > = 0 > + basic_json(const BasicJsonType& val) + { + using other_boolean_t = typename BasicJsonType::boolean_t; + using other_number_float_t = typename BasicJsonType::number_float_t; + using other_number_integer_t = typename BasicJsonType::number_integer_t; + using other_number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using other_string_t = typename BasicJsonType::string_t; + using other_object_t = typename BasicJsonType::object_t; + using other_array_t = typename BasicJsonType::array_t; + using other_binary_t = typename BasicJsonType::binary_t; + + switch (val.type()) + { + case value_t::boolean: + JSONSerializer::to_json(*this, val.template get()); + break; + case value_t::number_float: + JSONSerializer::to_json(*this, val.template get()); + break; + case value_t::number_integer: + JSONSerializer::to_json(*this, val.template get()); + break; + case value_t::number_unsigned: + JSONSerializer::to_json(*this, val.template get()); + break; + case value_t::string: + JSONSerializer::to_json(*this, val.template get_ref()); + break; + case value_t::object: + JSONSerializer::to_json(*this, val.template get_ref()); + break; + case value_t::array: + JSONSerializer::to_json(*this, val.template get_ref()); + break; + case value_t::binary: + JSONSerializer::to_json(*this, val.template get_ref()); + break; + case value_t::null: + *this = nullptr; + break; + case value_t::discarded: + m_type = value_t::discarded; + break; + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + set_parents(); + assert_invariant(); + } + + /// @brief create a container (array or object) from an initializer list + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(initializer_list_t init, + bool type_deduction = true, + value_t manual_type = value_t::array) + { + // check if each element is an array with two elements whose first + // element is a string + bool is_an_object = std::all_of(init.begin(), init.end(), + [](const detail::json_ref& element_ref) + { + return element_ref->is_array() && element_ref->size() == 2 && (*element_ref)[0].is_string(); + }); + + // adjust type if type deduction is not wanted + if (!type_deduction) + { + // if array is wanted, do not create an object though possible + if (manual_type == value_t::array) + { + is_an_object = false; + } + + // if object is wanted but impossible, throw an exception + if (JSON_HEDLEY_UNLIKELY(manual_type == value_t::object && !is_an_object)) + { + JSON_THROW(type_error::create(301, "cannot create object from initializer list", basic_json())); + } + } + + if (is_an_object) + { + // the initializer list is a list of pairs -> create object + m_type = value_t::object; + m_value = value_t::object; + + for (auto& element_ref : init) + { + auto element = element_ref.moved_or_copied(); + m_value.object->emplace( + std::move(*((*element.m_value.array)[0].m_value.string)), + std::move((*element.m_value.array)[1])); + } + } + else + { + // the initializer list describes an array -> create array + m_type = value_t::array; + m_value.array = create(init.begin(), init.end()); + } + + set_parents(); + assert_invariant(); + } + + /// @brief explicitly create a binary array (without subtype) + /// @sa https://json.nlohmann.me/api/basic_json/binary/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json binary(const typename binary_t::container_type& init) + { + auto res = basic_json(); + res.m_type = value_t::binary; + res.m_value = init; + return res; + } + + /// @brief explicitly create a binary array (with subtype) + /// @sa https://json.nlohmann.me/api/basic_json/binary/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json binary(const typename binary_t::container_type& init, typename binary_t::subtype_type subtype) + { + auto res = basic_json(); + res.m_type = value_t::binary; + res.m_value = binary_t(init, subtype); + return res; + } + + /// @brief explicitly create a binary array + /// @sa https://json.nlohmann.me/api/basic_json/binary/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json binary(typename binary_t::container_type&& init) + { + auto res = basic_json(); + res.m_type = value_t::binary; + res.m_value = std::move(init); + return res; + } + + /// @brief explicitly create a binary array (with subtype) + /// @sa https://json.nlohmann.me/api/basic_json/binary/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json binary(typename binary_t::container_type&& init, typename binary_t::subtype_type subtype) + { + auto res = basic_json(); + res.m_type = value_t::binary; + res.m_value = binary_t(std::move(init), subtype); + return res; + } + + /// @brief explicitly create an array from an initializer list + /// @sa https://json.nlohmann.me/api/basic_json/array/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json array(initializer_list_t init = {}) + { + return basic_json(init, false, value_t::array); + } + + /// @brief explicitly create an object from an initializer list + /// @sa https://json.nlohmann.me/api/basic_json/object/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json object(initializer_list_t init = {}) + { + return basic_json(init, false, value_t::object); + } + + /// @brief construct an array with count copies of given value + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(size_type cnt, const basic_json& val) + : m_type(value_t::array) + { + m_value.array = create(cnt, val); + set_parents(); + assert_invariant(); + } + + /// @brief construct a JSON container given an iterator range + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + template < class InputIT, typename std::enable_if < + std::is_same::value || + std::is_same::value, int >::type = 0 > + basic_json(InputIT first, InputIT last) + { + JSON_ASSERT(first.m_object != nullptr); + JSON_ASSERT(last.m_object != nullptr); + + // make sure iterator fits the current value + if (JSON_HEDLEY_UNLIKELY(first.m_object != last.m_object)) + { + JSON_THROW(invalid_iterator::create(201, "iterators are not compatible", basic_json())); + } + + // copy type from first iterator + m_type = first.m_object->m_type; + + // check if iterator range is complete for primitive values + switch (m_type) + { + case value_t::boolean: + case value_t::number_float: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::string: + { + if (JSON_HEDLEY_UNLIKELY(!first.m_it.primitive_iterator.is_begin() + || !last.m_it.primitive_iterator.is_end())) + { + JSON_THROW(invalid_iterator::create(204, "iterators out of range", *first.m_object)); + } + break; + } + + case value_t::null: + case value_t::object: + case value_t::array: + case value_t::binary: + case value_t::discarded: + default: + break; + } + + switch (m_type) + { + case value_t::number_integer: + { + m_value.number_integer = first.m_object->m_value.number_integer; + break; + } + + case value_t::number_unsigned: + { + m_value.number_unsigned = first.m_object->m_value.number_unsigned; + break; + } + + case value_t::number_float: + { + m_value.number_float = first.m_object->m_value.number_float; + break; + } + + case value_t::boolean: + { + m_value.boolean = first.m_object->m_value.boolean; + break; + } + + case value_t::string: + { + m_value = *first.m_object->m_value.string; + break; + } + + case value_t::object: + { + m_value.object = create(first.m_it.object_iterator, + last.m_it.object_iterator); + break; + } + + case value_t::array: + { + m_value.array = create(first.m_it.array_iterator, + last.m_it.array_iterator); + break; + } + + case value_t::binary: + { + m_value = *first.m_object->m_value.binary; + break; + } + + case value_t::null: + case value_t::discarded: + default: + JSON_THROW(invalid_iterator::create(206, "cannot construct with iterators from " + std::string(first.m_object->type_name()), *first.m_object)); + } + + set_parents(); + assert_invariant(); + } + + + /////////////////////////////////////// + // other constructors and destructor // + /////////////////////////////////////// + + template, + std::is_same>::value, int> = 0 > + basic_json(const JsonRef& ref) : basic_json(ref.moved_or_copied()) {} + + /// @brief copy constructor + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(const basic_json& other) + : m_type(other.m_type) + { + // check of passed value is valid + other.assert_invariant(); + + switch (m_type) + { + case value_t::object: + { + m_value = *other.m_value.object; + break; + } + + case value_t::array: + { + m_value = *other.m_value.array; + break; + } + + case value_t::string: + { + m_value = *other.m_value.string; + break; + } + + case value_t::boolean: + { + m_value = other.m_value.boolean; + break; + } + + case value_t::number_integer: + { + m_value = other.m_value.number_integer; + break; + } + + case value_t::number_unsigned: + { + m_value = other.m_value.number_unsigned; + break; + } + + case value_t::number_float: + { + m_value = other.m_value.number_float; + break; + } + + case value_t::binary: + { + m_value = *other.m_value.binary; + break; + } + + case value_t::null: + case value_t::discarded: + default: + break; + } + + set_parents(); + assert_invariant(); + } + + /// @brief move constructor + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(basic_json&& other) noexcept + : m_type(std::move(other.m_type)), + m_value(std::move(other.m_value)) + { + // check that passed value is valid + other.assert_invariant(false); + + // invalidate payload + other.m_type = value_t::null; + other.m_value = {}; + + set_parents(); + assert_invariant(); + } + + /// @brief copy assignment + /// @sa https://json.nlohmann.me/api/basic_json/operator=/ + basic_json& operator=(basic_json other) noexcept ( + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value&& + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value + ) + { + // check that passed value is valid + other.assert_invariant(); + + using std::swap; + swap(m_type, other.m_type); + swap(m_value, other.m_value); + + set_parents(); + assert_invariant(); + return *this; + } + + /// @brief destructor + /// @sa https://json.nlohmann.me/api/basic_json/~basic_json/ + ~basic_json() noexcept + { + assert_invariant(false); + m_value.destroy(m_type); + } + + /// @} + + public: + /////////////////////// + // object inspection // + /////////////////////// + + /// @name object inspection + /// Functions to inspect the type of a JSON value. + /// @{ + + /// @brief serialization + /// @sa https://json.nlohmann.me/api/basic_json/dump/ + string_t dump(const int indent = -1, + const char indent_char = ' ', + const bool ensure_ascii = false, + const error_handler_t error_handler = error_handler_t::strict) const + { + string_t result; + serializer s(detail::output_adapter(result), indent_char, error_handler); + + if (indent >= 0) + { + s.dump(*this, true, ensure_ascii, static_cast(indent)); + } + else + { + s.dump(*this, false, ensure_ascii, 0); + } + + return result; + } + + /// @brief return the type of the JSON value (explicit) + /// @sa https://json.nlohmann.me/api/basic_json/type/ + constexpr value_t type() const noexcept + { + return m_type; + } + + /// @brief return whether type is primitive + /// @sa https://json.nlohmann.me/api/basic_json/is_primitive/ + constexpr bool is_primitive() const noexcept + { + return is_null() || is_string() || is_boolean() || is_number() || is_binary(); + } + + /// @brief return whether type is structured + /// @sa https://json.nlohmann.me/api/basic_json/is_structured/ + constexpr bool is_structured() const noexcept + { + return is_array() || is_object(); + } + + /// @brief return whether value is null + /// @sa https://json.nlohmann.me/api/basic_json/is_null/ + constexpr bool is_null() const noexcept + { + return m_type == value_t::null; + } + + /// @brief return whether value is a boolean + /// @sa https://json.nlohmann.me/api/basic_json/is_boolean/ + constexpr bool is_boolean() const noexcept + { + return m_type == value_t::boolean; + } + + /// @brief return whether value is a number + /// @sa https://json.nlohmann.me/api/basic_json/is_number/ + constexpr bool is_number() const noexcept + { + return is_number_integer() || is_number_float(); + } + + /// @brief return whether value is an integer number + /// @sa https://json.nlohmann.me/api/basic_json/is_number_integer/ + constexpr bool is_number_integer() const noexcept + { + return m_type == value_t::number_integer || m_type == value_t::number_unsigned; + } + + /// @brief return whether value is an unsigned integer number + /// @sa https://json.nlohmann.me/api/basic_json/is_number_unsigned/ + constexpr bool is_number_unsigned() const noexcept + { + return m_type == value_t::number_unsigned; + } + + /// @brief return whether value is a floating-point number + /// @sa https://json.nlohmann.me/api/basic_json/is_number_float/ + constexpr bool is_number_float() const noexcept + { + return m_type == value_t::number_float; + } + + /// @brief return whether value is an object + /// @sa https://json.nlohmann.me/api/basic_json/is_object/ + constexpr bool is_object() const noexcept + { + return m_type == value_t::object; + } + + /// @brief return whether value is an array + /// @sa https://json.nlohmann.me/api/basic_json/is_array/ + constexpr bool is_array() const noexcept + { + return m_type == value_t::array; + } + + /// @brief return whether value is a string + /// @sa https://json.nlohmann.me/api/basic_json/is_string/ + constexpr bool is_string() const noexcept + { + return m_type == value_t::string; + } + + /// @brief return whether value is a binary array + /// @sa https://json.nlohmann.me/api/basic_json/is_binary/ + constexpr bool is_binary() const noexcept + { + return m_type == value_t::binary; + } + + /// @brief return whether value is discarded + /// @sa https://json.nlohmann.me/api/basic_json/is_discarded/ + constexpr bool is_discarded() const noexcept + { + return m_type == value_t::discarded; + } + + /// @brief return the type of the JSON value (implicit) + /// @sa https://json.nlohmann.me/api/basic_json/operator_value_t/ + constexpr operator value_t() const noexcept + { + return m_type; + } + + /// @} + + private: + ////////////////// + // value access // + ////////////////// + + /// get a boolean (explicit) + boolean_t get_impl(boolean_t* /*unused*/) const + { + if (JSON_HEDLEY_LIKELY(is_boolean())) + { + return m_value.boolean; + } + + JSON_THROW(type_error::create(302, "type must be boolean, but is " + std::string(type_name()), *this)); + } + + /// get a pointer to the value (object) + object_t* get_impl_ptr(object_t* /*unused*/) noexcept + { + return is_object() ? m_value.object : nullptr; + } + + /// get a pointer to the value (object) + constexpr const object_t* get_impl_ptr(const object_t* /*unused*/) const noexcept + { + return is_object() ? m_value.object : nullptr; + } + + /// get a pointer to the value (array) + array_t* get_impl_ptr(array_t* /*unused*/) noexcept + { + return is_array() ? m_value.array : nullptr; + } + + /// get a pointer to the value (array) + constexpr const array_t* get_impl_ptr(const array_t* /*unused*/) const noexcept + { + return is_array() ? m_value.array : nullptr; + } + + /// get a pointer to the value (string) + string_t* get_impl_ptr(string_t* /*unused*/) noexcept + { + return is_string() ? m_value.string : nullptr; + } + + /// get a pointer to the value (string) + constexpr const string_t* get_impl_ptr(const string_t* /*unused*/) const noexcept + { + return is_string() ? m_value.string : nullptr; + } + + /// get a pointer to the value (boolean) + boolean_t* get_impl_ptr(boolean_t* /*unused*/) noexcept + { + return is_boolean() ? &m_value.boolean : nullptr; + } + + /// get a pointer to the value (boolean) + constexpr const boolean_t* get_impl_ptr(const boolean_t* /*unused*/) const noexcept + { + return is_boolean() ? &m_value.boolean : nullptr; + } + + /// get a pointer to the value (integer number) + number_integer_t* get_impl_ptr(number_integer_t* /*unused*/) noexcept + { + return is_number_integer() ? &m_value.number_integer : nullptr; + } + + /// get a pointer to the value (integer number) + constexpr const number_integer_t* get_impl_ptr(const number_integer_t* /*unused*/) const noexcept + { + return is_number_integer() ? &m_value.number_integer : nullptr; + } + + /// get a pointer to the value (unsigned number) + number_unsigned_t* get_impl_ptr(number_unsigned_t* /*unused*/) noexcept + { + return is_number_unsigned() ? &m_value.number_unsigned : nullptr; + } + + /// get a pointer to the value (unsigned number) + constexpr const number_unsigned_t* get_impl_ptr(const number_unsigned_t* /*unused*/) const noexcept + { + return is_number_unsigned() ? &m_value.number_unsigned : nullptr; + } + + /// get a pointer to the value (floating-point number) + number_float_t* get_impl_ptr(number_float_t* /*unused*/) noexcept + { + return is_number_float() ? &m_value.number_float : nullptr; + } + + /// get a pointer to the value (floating-point number) + constexpr const number_float_t* get_impl_ptr(const number_float_t* /*unused*/) const noexcept + { + return is_number_float() ? &m_value.number_float : nullptr; + } + + /// get a pointer to the value (binary) + binary_t* get_impl_ptr(binary_t* /*unused*/) noexcept + { + return is_binary() ? m_value.binary : nullptr; + } + + /// get a pointer to the value (binary) + constexpr const binary_t* get_impl_ptr(const binary_t* /*unused*/) const noexcept + { + return is_binary() ? m_value.binary : nullptr; + } + + /*! + @brief helper function to implement get_ref() + + This function helps to implement get_ref() without code duplication for + const and non-const overloads + + @tparam ThisType will be deduced as `basic_json` or `const basic_json` + + @throw type_error.303 if ReferenceType does not match underlying value + type of the current JSON + */ + template + static ReferenceType get_ref_impl(ThisType& obj) + { + // delegate the call to get_ptr<>() + auto* ptr = obj.template get_ptr::type>(); + + if (JSON_HEDLEY_LIKELY(ptr != nullptr)) + { + return *ptr; + } + + JSON_THROW(type_error::create(303, "incompatible ReferenceType for get_ref, actual type is " + std::string(obj.type_name()), obj)); + } + + public: + /// @name value access + /// Direct access to the stored value of a JSON value. + /// @{ + + /// @brief get a pointer value (implicit) + /// @sa https://json.nlohmann.me/api/basic_json/get_ptr/ + template::value, int>::type = 0> + auto get_ptr() noexcept -> decltype(std::declval().get_impl_ptr(std::declval())) + { + // delegate the call to get_impl_ptr<>() + return get_impl_ptr(static_cast(nullptr)); + } + + /// @brief get a pointer value (implicit) + /// @sa https://json.nlohmann.me/api/basic_json/get_ptr/ + template < typename PointerType, typename std::enable_if < + std::is_pointer::value&& + std::is_const::type>::value, int >::type = 0 > + constexpr auto get_ptr() const noexcept -> decltype(std::declval().get_impl_ptr(std::declval())) + { + // delegate the call to get_impl_ptr<>() const + return get_impl_ptr(static_cast(nullptr)); + } + + private: + /*! + @brief get a value (explicit) + + Explicit type conversion between the JSON value and a compatible value + which is [CopyConstructible](https://en.cppreference.com/w/cpp/named_req/CopyConstructible) + and [DefaultConstructible](https://en.cppreference.com/w/cpp/named_req/DefaultConstructible). + The value is converted by calling the @ref json_serializer + `from_json()` method. + + The function is equivalent to executing + @code {.cpp} + ValueType ret; + JSONSerializer::from_json(*this, ret); + return ret; + @endcode + + This overloads is chosen if: + - @a ValueType is not @ref basic_json, + - @ref json_serializer has a `from_json()` method of the form + `void from_json(const basic_json&, ValueType&)`, and + - @ref json_serializer does not have a `from_json()` method of + the form `ValueType from_json(const basic_json&)` + + @tparam ValueType the returned value type + + @return copy of the JSON value, converted to @a ValueType + + @throw what @ref json_serializer `from_json()` method throws + + @liveexample{The example below shows several conversions from JSON values + to other types. There a few things to note: (1) Floating-point numbers can + be converted to integers\, (2) A JSON array can be converted to a standard + `std::vector`\, (3) A JSON object can be converted to C++ + associative containers such as `std::unordered_map`.,get__ValueType_const} + + @since version 2.1.0 + */ + template < typename ValueType, + detail::enable_if_t < + detail::is_default_constructible::value&& + detail::has_from_json::value, + int > = 0 > + ValueType get_impl(detail::priority_tag<0> /*unused*/) const noexcept(noexcept( + JSONSerializer::from_json(std::declval(), std::declval()))) + { + auto ret = ValueType(); + JSONSerializer::from_json(*this, ret); + return ret; + } + + /*! + @brief get a value (explicit); special case + + Explicit type conversion between the JSON value and a compatible value + which is **not** [CopyConstructible](https://en.cppreference.com/w/cpp/named_req/CopyConstructible) + and **not** [DefaultConstructible](https://en.cppreference.com/w/cpp/named_req/DefaultConstructible). + The value is converted by calling the @ref json_serializer + `from_json()` method. + + The function is equivalent to executing + @code {.cpp} + return JSONSerializer::from_json(*this); + @endcode + + This overloads is chosen if: + - @a ValueType is not @ref basic_json and + - @ref json_serializer has a `from_json()` method of the form + `ValueType from_json(const basic_json&)` + + @note If @ref json_serializer has both overloads of + `from_json()`, this one is chosen. + + @tparam ValueType the returned value type + + @return copy of the JSON value, converted to @a ValueType + + @throw what @ref json_serializer `from_json()` method throws + + @since version 2.1.0 + */ + template < typename ValueType, + detail::enable_if_t < + detail::has_non_default_from_json::value, + int > = 0 > + ValueType get_impl(detail::priority_tag<1> /*unused*/) const noexcept(noexcept( + JSONSerializer::from_json(std::declval()))) + { + return JSONSerializer::from_json(*this); + } + + /*! + @brief get special-case overload + + This overloads converts the current @ref basic_json in a different + @ref basic_json type + + @tparam BasicJsonType == @ref basic_json + + @return a copy of *this, converted into @a BasicJsonType + + @complexity Depending on the implementation of the called `from_json()` + method. + + @since version 3.2.0 + */ + template < typename BasicJsonType, + detail::enable_if_t < + detail::is_basic_json::value, + int > = 0 > + BasicJsonType get_impl(detail::priority_tag<2> /*unused*/) const + { + return *this; + } + + /*! + @brief get special-case overload + + This overloads avoids a lot of template boilerplate, it can be seen as the + identity method + + @tparam BasicJsonType == @ref basic_json + + @return a copy of *this + + @complexity Constant. + + @since version 2.1.0 + */ + template::value, + int> = 0> + basic_json get_impl(detail::priority_tag<3> /*unused*/) const + { + return *this; + } + + /*! + @brief get a pointer value (explicit) + @copydoc get() + */ + template::value, + int> = 0> + constexpr auto get_impl(detail::priority_tag<4> /*unused*/) const noexcept + -> decltype(std::declval().template get_ptr()) + { + // delegate the call to get_ptr + return get_ptr(); + } + + public: + /*! + @brief get a (pointer) value (explicit) + + Performs explicit type conversion between the JSON value and a compatible value if required. + + - If the requested type is a pointer to the internally stored JSON value that pointer is returned. + No copies are made. + + - If the requested type is the current @ref basic_json, or a different @ref basic_json convertible + from the current @ref basic_json. + + - Otherwise the value is converted by calling the @ref json_serializer `from_json()` + method. + + @tparam ValueTypeCV the provided value type + @tparam ValueType the returned value type + + @return copy of the JSON value, converted to @tparam ValueType if necessary + + @throw what @ref json_serializer `from_json()` method throws if conversion is required + + @since version 2.1.0 + */ + template < typename ValueTypeCV, typename ValueType = detail::uncvref_t> +#if defined(JSON_HAS_CPP_14) + constexpr +#endif + auto get() const noexcept( + noexcept(std::declval().template get_impl(detail::priority_tag<4> {}))) + -> decltype(std::declval().template get_impl(detail::priority_tag<4> {})) + { + // we cannot static_assert on ValueTypeCV being non-const, because + // there is support for get(), which is why we + // still need the uncvref + static_assert(!std::is_reference::value, + "get() cannot be used with reference types, you might want to use get_ref()"); + return get_impl(detail::priority_tag<4> {}); + } + + /*! + @brief get a pointer value (explicit) + + Explicit pointer access to the internally stored JSON value. No copies are + made. + + @warning The pointer becomes invalid if the underlying JSON object + changes. + + @tparam PointerType pointer type; must be a pointer to @ref array_t, @ref + object_t, @ref string_t, @ref boolean_t, @ref number_integer_t, + @ref number_unsigned_t, or @ref number_float_t. + + @return pointer to the internally stored JSON value if the requested + pointer type @a PointerType fits to the JSON value; `nullptr` otherwise + + @complexity Constant. + + @liveexample{The example below shows how pointers to internal values of a + JSON value can be requested. Note that no type conversions are made and a + `nullptr` is returned if the value and the requested pointer type does not + match.,get__PointerType} + + @sa see @ref get_ptr() for explicit pointer-member access + + @since version 1.0.0 + */ + template::value, int>::type = 0> + auto get() noexcept -> decltype(std::declval().template get_ptr()) + { + // delegate the call to get_ptr + return get_ptr(); + } + + /// @brief get a value (explicit) + /// @sa https://json.nlohmann.me/api/basic_json/get_to/ + template < typename ValueType, + detail::enable_if_t < + !detail::is_basic_json::value&& + detail::has_from_json::value, + int > = 0 > + ValueType & get_to(ValueType& v) const noexcept(noexcept( + JSONSerializer::from_json(std::declval(), v))) + { + JSONSerializer::from_json(*this, v); + return v; + } + + // specialization to allow calling get_to with a basic_json value + // see https://github.com/nlohmann/json/issues/2175 + template::value, + int> = 0> + ValueType & get_to(ValueType& v) const + { + v = *this; + return v; + } + + template < + typename T, std::size_t N, + typename Array = T (&)[N], // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) + detail::enable_if_t < + detail::has_from_json::value, int > = 0 > + Array get_to(T (&v)[N]) const // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) + noexcept(noexcept(JSONSerializer::from_json( + std::declval(), v))) + { + JSONSerializer::from_json(*this, v); + return v; + } + + /// @brief get a reference value (implicit) + /// @sa https://json.nlohmann.me/api/basic_json/get_ref/ + template::value, int>::type = 0> + ReferenceType get_ref() + { + // delegate call to get_ref_impl + return get_ref_impl(*this); + } + + /// @brief get a reference value (implicit) + /// @sa https://json.nlohmann.me/api/basic_json/get_ref/ + template < typename ReferenceType, typename std::enable_if < + std::is_reference::value&& + std::is_const::type>::value, int >::type = 0 > + ReferenceType get_ref() const + { + // delegate call to get_ref_impl + return get_ref_impl(*this); + } + + /*! + @brief get a value (implicit) + + Implicit type conversion between the JSON value and a compatible value. + The call is realized by calling @ref get() const. + + @tparam ValueType non-pointer type compatible to the JSON value, for + instance `int` for JSON integer numbers, `bool` for JSON booleans, or + `std::vector` types for JSON arrays. The character type of @ref string_t + as well as an initializer list of this type is excluded to avoid + ambiguities as these types implicitly convert to `std::string`. + + @return copy of the JSON value, converted to type @a ValueType + + @throw type_error.302 in case passed type @a ValueType is incompatible + to the JSON value type (e.g., the JSON value is of type boolean, but a + string is requested); see example below + + @complexity Linear in the size of the JSON value. + + @liveexample{The example below shows several conversions from JSON values + to other types. There a few things to note: (1) Floating-point numbers can + be converted to integers\, (2) A JSON array can be converted to a standard + `std::vector`\, (3) A JSON object can be converted to C++ + associative containers such as `std::unordered_map`.,operator__ValueType} + + @since version 1.0.0 + */ + template < typename ValueType, typename std::enable_if < + detail::conjunction < + detail::negation>, + detail::negation>, + detail::negation>>, + detail::negation>, + detail::negation>, + detail::negation>>, + +#if defined(JSON_HAS_CPP_17) && (defined(__GNUC__) || (defined(_MSC_VER) && _MSC_VER >= 1910 && _MSC_VER <= 1914)) + detail::negation>, +#endif + detail::is_detected_lazy + >::value, int >::type = 0 > + JSON_EXPLICIT operator ValueType() const + { + // delegate the call to get<>() const + return get(); + } + + /// @brief get a binary value + /// @sa https://json.nlohmann.me/api/basic_json/get_binary/ + binary_t& get_binary() + { + if (!is_binary()) + { + JSON_THROW(type_error::create(302, "type must be binary, but is " + std::string(type_name()), *this)); + } + + return *get_ptr(); + } + + /// @brief get a binary value + /// @sa https://json.nlohmann.me/api/basic_json/get_binary/ + const binary_t& get_binary() const + { + if (!is_binary()) + { + JSON_THROW(type_error::create(302, "type must be binary, but is " + std::string(type_name()), *this)); + } + + return *get_ptr(); + } + + /// @} + + + //////////////////// + // element access // + //////////////////// + + /// @name element access + /// Access to the JSON value. + /// @{ + + /// @brief access specified array element with bounds checking + /// @sa https://json.nlohmann.me/api/basic_json/at/ + reference at(size_type idx) + { + // at only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + JSON_TRY + { + return set_parent(m_value.array->at(idx)); + } + JSON_CATCH (std::out_of_range&) + { + // create better exception explanation + JSON_THROW(out_of_range::create(401, "array index " + std::to_string(idx) + " is out of range", *this)); + } + } + else + { + JSON_THROW(type_error::create(304, "cannot use at() with " + std::string(type_name()), *this)); + } + } + + /// @brief access specified array element with bounds checking + /// @sa https://json.nlohmann.me/api/basic_json/at/ + const_reference at(size_type idx) const + { + // at only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + JSON_TRY + { + return m_value.array->at(idx); + } + JSON_CATCH (std::out_of_range&) + { + // create better exception explanation + JSON_THROW(out_of_range::create(401, "array index " + std::to_string(idx) + " is out of range", *this)); + } + } + else + { + JSON_THROW(type_error::create(304, "cannot use at() with " + std::string(type_name()), *this)); + } + } + + /// @brief access specified object element with bounds checking + /// @sa https://json.nlohmann.me/api/basic_json/at/ + reference at(const typename object_t::key_type& key) + { + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + JSON_TRY + { + return set_parent(m_value.object->at(key)); + } + JSON_CATCH (std::out_of_range&) + { + // create better exception explanation + JSON_THROW(out_of_range::create(403, "key '" + key + "' not found", *this)); + } + } + else + { + JSON_THROW(type_error::create(304, "cannot use at() with " + std::string(type_name()), *this)); + } + } + + /// @brief access specified object element with bounds checking + /// @sa https://json.nlohmann.me/api/basic_json/at/ + const_reference at(const typename object_t::key_type& key) const + { + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + JSON_TRY + { + return m_value.object->at(key); + } + JSON_CATCH (std::out_of_range&) + { + // create better exception explanation + JSON_THROW(out_of_range::create(403, "key '" + key + "' not found", *this)); + } + } + else + { + JSON_THROW(type_error::create(304, "cannot use at() with " + std::string(type_name()), *this)); + } + } + + /// @brief access specified array element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + reference operator[](size_type idx) + { + // implicitly convert null value to an empty array + if (is_null()) + { + m_type = value_t::array; + m_value.array = create(); + assert_invariant(); + } + + // operator[] only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + // fill up array with null values if given idx is outside range + if (idx >= m_value.array->size()) + { +#if JSON_DIAGNOSTICS + // remember array size & capacity before resizing + const auto old_size = m_value.array->size(); + const auto old_capacity = m_value.array->capacity(); +#endif + m_value.array->resize(idx + 1); + +#if JSON_DIAGNOSTICS + if (JSON_HEDLEY_UNLIKELY(m_value.array->capacity() != old_capacity)) + { + // capacity has changed: update all parents + set_parents(); + } + else + { + // set parent for values added above + set_parents(begin() + static_cast(old_size), static_cast(idx + 1 - old_size)); + } +#endif + assert_invariant(); + } + + return m_value.array->operator[](idx); + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a numeric argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified array element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + const_reference operator[](size_type idx) const + { + // const operator[] only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + return m_value.array->operator[](idx); + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a numeric argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + reference operator[](const typename object_t::key_type& key) + { + // implicitly convert null value to an empty object + if (is_null()) + { + m_type = value_t::object; + m_value.object = create(); + assert_invariant(); + } + + // operator[] only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + return set_parent(m_value.object->operator[](key)); + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a string argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + const_reference operator[](const typename object_t::key_type& key) const + { + // const operator[] only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + JSON_ASSERT(m_value.object->find(key) != m_value.object->end()); + return m_value.object->find(key)->second; + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a string argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + template + JSON_HEDLEY_NON_NULL(2) + reference operator[](T* key) + { + // implicitly convert null to object + if (is_null()) + { + m_type = value_t::object; + m_value = value_t::object; + assert_invariant(); + } + + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + return set_parent(m_value.object->operator[](key)); + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a string argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + template + JSON_HEDLEY_NON_NULL(2) + const_reference operator[](T* key) const + { + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + JSON_ASSERT(m_value.object->find(key) != m_value.object->end()); + return m_value.object->find(key)->second; + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a string argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element with default value + /// @sa https://json.nlohmann.me/api/basic_json/value/ + /// using std::is_convertible in a std::enable_if will fail when using explicit conversions + template < class ValueType, typename std::enable_if < + detail::is_getable::value + && !std::is_same::value, int >::type = 0 > + ValueType value(const typename object_t::key_type& key, const ValueType& default_value) const + { + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + // if key is found, return value and given default value otherwise + const auto it = find(key); + if (it != end()) + { + return it->template get(); + } + + return default_value; + } + + JSON_THROW(type_error::create(306, "cannot use value() with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element with default value + /// @sa https://json.nlohmann.me/api/basic_json/value/ + /// overload for a default value of type const char* + string_t value(const typename object_t::key_type& key, const char* default_value) const + { + return value(key, string_t(default_value)); + } + + /// @brief access specified object element via JSON Pointer with default value + /// @sa https://json.nlohmann.me/api/basic_json/value/ + template::value, int>::type = 0> + ValueType value(const json_pointer& ptr, const ValueType& default_value) const + { + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + // if pointer resolves a value, return it or use default value + JSON_TRY + { + return ptr.get_checked(this).template get(); + } + JSON_INTERNAL_CATCH (out_of_range&) + { + return default_value; + } + } + + JSON_THROW(type_error::create(306, "cannot use value() with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element via JSON Pointer with default value + /// @sa https://json.nlohmann.me/api/basic_json/value/ + /// overload for a default value of type const char* + JSON_HEDLEY_NON_NULL(3) + string_t value(const json_pointer& ptr, const char* default_value) const + { + return value(ptr, string_t(default_value)); + } + + /// @brief access the first element + /// @sa https://json.nlohmann.me/api/basic_json/front/ + reference front() + { + return *begin(); + } + + /// @brief access the first element + /// @sa https://json.nlohmann.me/api/basic_json/front/ + const_reference front() const + { + return *cbegin(); + } + + /// @brief access the last element + /// @sa https://json.nlohmann.me/api/basic_json/back/ + reference back() + { + auto tmp = end(); + --tmp; + return *tmp; + } + + /// @brief access the last element + /// @sa https://json.nlohmann.me/api/basic_json/back/ + const_reference back() const + { + auto tmp = cend(); + --tmp; + return *tmp; + } + + /// @brief remove element given an iterator + /// @sa https://json.nlohmann.me/api/basic_json/erase/ + template < class IteratorType, typename std::enable_if < + std::is_same::value || + std::is_same::value, int >::type + = 0 > + IteratorType erase(IteratorType pos) + { + // make sure iterator fits the current value + if (JSON_HEDLEY_UNLIKELY(this != pos.m_object)) + { + JSON_THROW(invalid_iterator::create(202, "iterator does not fit current value", *this)); + } + + IteratorType result = end(); + + switch (m_type) + { + case value_t::boolean: + case value_t::number_float: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::string: + case value_t::binary: + { + if (JSON_HEDLEY_UNLIKELY(!pos.m_it.primitive_iterator.is_begin())) + { + JSON_THROW(invalid_iterator::create(205, "iterator out of range", *this)); + } + + if (is_string()) + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, m_value.string); + std::allocator_traits::deallocate(alloc, m_value.string, 1); + m_value.string = nullptr; + } + else if (is_binary()) + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, m_value.binary); + std::allocator_traits::deallocate(alloc, m_value.binary, 1); + m_value.binary = nullptr; + } + + m_type = value_t::null; + assert_invariant(); + break; + } + + case value_t::object: + { + result.m_it.object_iterator = m_value.object->erase(pos.m_it.object_iterator); + break; + } + + case value_t::array: + { + result.m_it.array_iterator = m_value.array->erase(pos.m_it.array_iterator); + break; + } + + case value_t::null: + case value_t::discarded: + default: + JSON_THROW(type_error::create(307, "cannot use erase() with " + std::string(type_name()), *this)); + } + + return result; + } + + /// @brief remove elements given an iterator range + /// @sa https://json.nlohmann.me/api/basic_json/erase/ + template < class IteratorType, typename std::enable_if < + std::is_same::value || + std::is_same::value, int >::type + = 0 > + IteratorType erase(IteratorType first, IteratorType last) + { + // make sure iterator fits the current value + if (JSON_HEDLEY_UNLIKELY(this != first.m_object || this != last.m_object)) + { + JSON_THROW(invalid_iterator::create(203, "iterators do not fit current value", *this)); + } + + IteratorType result = end(); + + switch (m_type) + { + case value_t::boolean: + case value_t::number_float: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::string: + case value_t::binary: + { + if (JSON_HEDLEY_LIKELY(!first.m_it.primitive_iterator.is_begin() + || !last.m_it.primitive_iterator.is_end())) + { + JSON_THROW(invalid_iterator::create(204, "iterators out of range", *this)); + } + + if (is_string()) + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, m_value.string); + std::allocator_traits::deallocate(alloc, m_value.string, 1); + m_value.string = nullptr; + } + else if (is_binary()) + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, m_value.binary); + std::allocator_traits::deallocate(alloc, m_value.binary, 1); + m_value.binary = nullptr; + } + + m_type = value_t::null; + assert_invariant(); + break; + } + + case value_t::object: + { + result.m_it.object_iterator = m_value.object->erase(first.m_it.object_iterator, + last.m_it.object_iterator); + break; + } + + case value_t::array: + { + result.m_it.array_iterator = m_value.array->erase(first.m_it.array_iterator, + last.m_it.array_iterator); + break; + } + + case value_t::null: + case value_t::discarded: + default: + JSON_THROW(type_error::create(307, "cannot use erase() with " + std::string(type_name()), *this)); + } + + return result; + } + + /// @brief remove element from a JSON object given a key + /// @sa https://json.nlohmann.me/api/basic_json/erase/ + size_type erase(const typename object_t::key_type& key) + { + // this erase only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + return m_value.object->erase(key); + } + + JSON_THROW(type_error::create(307, "cannot use erase() with " + std::string(type_name()), *this)); + } + + /// @brief remove element from a JSON array given an index + /// @sa https://json.nlohmann.me/api/basic_json/erase/ + void erase(const size_type idx) + { + // this erase only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + if (JSON_HEDLEY_UNLIKELY(idx >= size())) + { + JSON_THROW(out_of_range::create(401, "array index " + std::to_string(idx) + " is out of range", *this)); + } + + m_value.array->erase(m_value.array->begin() + static_cast(idx)); + } + else + { + JSON_THROW(type_error::create(307, "cannot use erase() with " + std::string(type_name()), *this)); + } + } + + /// @} + + + //////////// + // lookup // + //////////// + + /// @name lookup + /// @{ + + /// @brief find an element in a JSON object + /// @sa https://json.nlohmann.me/api/basic_json/find/ + template + iterator find(KeyT&& key) + { + auto result = end(); + + if (is_object()) + { + result.m_it.object_iterator = m_value.object->find(std::forward(key)); + } + + return result; + } + + /// @brief find an element in a JSON object + /// @sa https://json.nlohmann.me/api/basic_json/find/ + template + const_iterator find(KeyT&& key) const + { + auto result = cend(); + + if (is_object()) + { + result.m_it.object_iterator = m_value.object->find(std::forward(key)); + } + + return result; + } + + /// @brief returns the number of occurrences of a key in a JSON object + /// @sa https://json.nlohmann.me/api/basic_json/count/ + template + size_type count(KeyT&& key) const + { + // return 0 for all nonobject types + return is_object() ? m_value.object->count(std::forward(key)) : 0; + } + + /// @brief check the existence of an element in a JSON object + /// @sa https://json.nlohmann.me/api/basic_json/contains/ + template < typename KeyT, typename std::enable_if < + !std::is_same::type, json_pointer>::value, int >::type = 0 > + bool contains(KeyT && key) const + { + return is_object() && m_value.object->find(std::forward(key)) != m_value.object->end(); + } + + /// @brief check the existence of an element in a JSON object given a JSON pointer + /// @sa https://json.nlohmann.me/api/basic_json/contains/ + bool contains(const json_pointer& ptr) const + { + return ptr.contains(this); + } + + /// @} + + + /////////////// + // iterators // + /////////////// + + /// @name iterators + /// @{ + + /// @brief returns an iterator to the first element + /// @sa https://json.nlohmann.me/api/basic_json/begin/ + iterator begin() noexcept + { + iterator result(this); + result.set_begin(); + return result; + } + + /// @brief returns an iterator to the first element + /// @sa https://json.nlohmann.me/api/basic_json/begin/ + const_iterator begin() const noexcept + { + return cbegin(); + } + + /// @brief returns a const iterator to the first element + /// @sa https://json.nlohmann.me/api/basic_json/cbegin/ + const_iterator cbegin() const noexcept + { + const_iterator result(this); + result.set_begin(); + return result; + } + + /// @brief returns an iterator to one past the last element + /// @sa https://json.nlohmann.me/api/basic_json/end/ + iterator end() noexcept + { + iterator result(this); + result.set_end(); + return result; + } + + /// @brief returns an iterator to one past the last element + /// @sa https://json.nlohmann.me/api/basic_json/end/ + const_iterator end() const noexcept + { + return cend(); + } + + /// @brief returns an iterator to one past the last element + /// @sa https://json.nlohmann.me/api/basic_json/cend/ + const_iterator cend() const noexcept + { + const_iterator result(this); + result.set_end(); + return result; + } + + /// @brief returns an iterator to the reverse-beginning + /// @sa https://json.nlohmann.me/api/basic_json/rbegin/ + reverse_iterator rbegin() noexcept + { + return reverse_iterator(end()); + } + + /// @brief returns an iterator to the reverse-beginning + /// @sa https://json.nlohmann.me/api/basic_json/rbegin/ + const_reverse_iterator rbegin() const noexcept + { + return crbegin(); + } + + /// @brief returns an iterator to the reverse-end + /// @sa https://json.nlohmann.me/api/basic_json/rend/ + reverse_iterator rend() noexcept + { + return reverse_iterator(begin()); + } + + /// @brief returns an iterator to the reverse-end + /// @sa https://json.nlohmann.me/api/basic_json/rend/ + const_reverse_iterator rend() const noexcept + { + return crend(); + } + + /// @brief returns a const reverse iterator to the last element + /// @sa https://json.nlohmann.me/api/basic_json/crbegin/ + const_reverse_iterator crbegin() const noexcept + { + return const_reverse_iterator(cend()); + } + + /// @brief returns a const reverse iterator to one before the first + /// @sa https://json.nlohmann.me/api/basic_json/crend/ + const_reverse_iterator crend() const noexcept + { + return const_reverse_iterator(cbegin()); + } + + public: + /// @brief wrapper to access iterator member functions in range-based for + /// @sa https://json.nlohmann.me/api/basic_json/items/ + /// @deprecated This function is deprecated since 3.1.0 and will be removed in + /// version 4.0.0 of the library. Please use @ref items() instead; + /// that is, replace `json::iterator_wrapper(j)` with `j.items()`. + JSON_HEDLEY_DEPRECATED_FOR(3.1.0, items()) + static iteration_proxy iterator_wrapper(reference ref) noexcept + { + return ref.items(); + } + + /// @brief wrapper to access iterator member functions in range-based for + /// @sa https://json.nlohmann.me/api/basic_json/items/ + /// @deprecated This function is deprecated since 3.1.0 and will be removed in + /// version 4.0.0 of the library. Please use @ref items() instead; + /// that is, replace `json::iterator_wrapper(j)` with `j.items()`. + JSON_HEDLEY_DEPRECATED_FOR(3.1.0, items()) + static iteration_proxy iterator_wrapper(const_reference ref) noexcept + { + return ref.items(); + } + + /// @brief helper to access iterator member functions in range-based for + /// @sa https://json.nlohmann.me/api/basic_json/items/ + iteration_proxy items() noexcept + { + return iteration_proxy(*this); + } + + /// @brief helper to access iterator member functions in range-based for + /// @sa https://json.nlohmann.me/api/basic_json/items/ + iteration_proxy items() const noexcept + { + return iteration_proxy(*this); + } + + /// @} + + + ////////////// + // capacity // + ////////////// + + /// @name capacity + /// @{ + + /// @brief checks whether the container is empty. + /// @sa https://json.nlohmann.me/api/basic_json/empty/ + bool empty() const noexcept + { + switch (m_type) + { + case value_t::null: + { + // null values are empty + return true; + } + + case value_t::array: + { + // delegate call to array_t::empty() + return m_value.array->empty(); + } + + case value_t::object: + { + // delegate call to object_t::empty() + return m_value.object->empty(); + } + + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + // all other types are nonempty + return false; + } + } + } + + /// @brief returns the number of elements + /// @sa https://json.nlohmann.me/api/basic_json/size/ + size_type size() const noexcept + { + switch (m_type) + { + case value_t::null: + { + // null values are empty + return 0; + } + + case value_t::array: + { + // delegate call to array_t::size() + return m_value.array->size(); + } + + case value_t::object: + { + // delegate call to object_t::size() + return m_value.object->size(); + } + + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + // all other types have size 1 + return 1; + } + } + } + + /// @brief returns the maximum possible number of elements + /// @sa https://json.nlohmann.me/api/basic_json/max_size/ + size_type max_size() const noexcept + { + switch (m_type) + { + case value_t::array: + { + // delegate call to array_t::max_size() + return m_value.array->max_size(); + } + + case value_t::object: + { + // delegate call to object_t::max_size() + return m_value.object->max_size(); + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + // all other types have max_size() == size() + return size(); + } + } + } + + /// @} + + + /////////////// + // modifiers // + /////////////// + + /// @name modifiers + /// @{ + + /// @brief clears the contents + /// @sa https://json.nlohmann.me/api/basic_json/clear/ + void clear() noexcept + { + switch (m_type) + { + case value_t::number_integer: + { + m_value.number_integer = 0; + break; + } + + case value_t::number_unsigned: + { + m_value.number_unsigned = 0; + break; + } + + case value_t::number_float: + { + m_value.number_float = 0.0; + break; + } + + case value_t::boolean: + { + m_value.boolean = false; + break; + } + + case value_t::string: + { + m_value.string->clear(); + break; + } + + case value_t::binary: + { + m_value.binary->clear(); + break; + } + + case value_t::array: + { + m_value.array->clear(); + break; + } + + case value_t::object: + { + m_value.object->clear(); + break; + } + + case value_t::null: + case value_t::discarded: + default: + break; + } + } + + /// @brief add an object to an array + /// @sa https://json.nlohmann.me/api/basic_json/push_back/ + void push_back(basic_json&& val) + { + // push_back only works for null objects or arrays + if (JSON_HEDLEY_UNLIKELY(!(is_null() || is_array()))) + { + JSON_THROW(type_error::create(308, "cannot use push_back() with " + std::string(type_name()), *this)); + } + + // transform null object into an array + if (is_null()) + { + m_type = value_t::array; + m_value = value_t::array; + assert_invariant(); + } + + // add element to array (move semantics) + const auto old_capacity = m_value.array->capacity(); + m_value.array->push_back(std::move(val)); + set_parent(m_value.array->back(), old_capacity); + // if val is moved from, basic_json move constructor marks it null, so we do not call the destructor + } + + /// @brief add an object to an array + /// @sa https://json.nlohmann.me/api/basic_json/operator+=/ + reference operator+=(basic_json&& val) + { + push_back(std::move(val)); + return *this; + } + + /// @brief add an object to an array + /// @sa https://json.nlohmann.me/api/basic_json/push_back/ + void push_back(const basic_json& val) + { + // push_back only works for null objects or arrays + if (JSON_HEDLEY_UNLIKELY(!(is_null() || is_array()))) + { + JSON_THROW(type_error::create(308, "cannot use push_back() with " + std::string(type_name()), *this)); + } + + // transform null object into an array + if (is_null()) + { + m_type = value_t::array; + m_value = value_t::array; + assert_invariant(); + } + + // add element to array + const auto old_capacity = m_value.array->capacity(); + m_value.array->push_back(val); + set_parent(m_value.array->back(), old_capacity); + } + + /// @brief add an object to an array + /// @sa https://json.nlohmann.me/api/basic_json/operator+=/ + reference operator+=(const basic_json& val) + { + push_back(val); + return *this; + } + + /// @brief add an object to an object + /// @sa https://json.nlohmann.me/api/basic_json/push_back/ + void push_back(const typename object_t::value_type& val) + { + // push_back only works for null objects or objects + if (JSON_HEDLEY_UNLIKELY(!(is_null() || is_object()))) + { + JSON_THROW(type_error::create(308, "cannot use push_back() with " + std::string(type_name()), *this)); + } + + // transform null object into an object + if (is_null()) + { + m_type = value_t::object; + m_value = value_t::object; + assert_invariant(); + } + + // add element to object + auto res = m_value.object->insert(val); + set_parent(res.first->second); + } + + /// @brief add an object to an object + /// @sa https://json.nlohmann.me/api/basic_json/operator+=/ + reference operator+=(const typename object_t::value_type& val) + { + push_back(val); + return *this; + } + + /// @brief add an object to an object + /// @sa https://json.nlohmann.me/api/basic_json/push_back/ + void push_back(initializer_list_t init) + { + if (is_object() && init.size() == 2 && (*init.begin())->is_string()) + { + basic_json&& key = init.begin()->moved_or_copied(); + push_back(typename object_t::value_type( + std::move(key.get_ref()), (init.begin() + 1)->moved_or_copied())); + } + else + { + push_back(basic_json(init)); + } + } + + /// @brief add an object to an object + /// @sa https://json.nlohmann.me/api/basic_json/operator+=/ + reference operator+=(initializer_list_t init) + { + push_back(init); + return *this; + } + + /// @brief add an object to an array + /// @sa https://json.nlohmann.me/api/basic_json/emplace_back/ + template + reference emplace_back(Args&& ... args) + { + // emplace_back only works for null objects or arrays + if (JSON_HEDLEY_UNLIKELY(!(is_null() || is_array()))) + { + JSON_THROW(type_error::create(311, "cannot use emplace_back() with " + std::string(type_name()), *this)); + } + + // transform null object into an array + if (is_null()) + { + m_type = value_t::array; + m_value = value_t::array; + assert_invariant(); + } + + // add element to array (perfect forwarding) + const auto old_capacity = m_value.array->capacity(); + m_value.array->emplace_back(std::forward(args)...); + return set_parent(m_value.array->back(), old_capacity); + } + + /// @brief add an object to an object if key does not exist + /// @sa https://json.nlohmann.me/api/basic_json/emplace/ + template + std::pair emplace(Args&& ... args) + { + // emplace only works for null objects or arrays + if (JSON_HEDLEY_UNLIKELY(!(is_null() || is_object()))) + { + JSON_THROW(type_error::create(311, "cannot use emplace() with " + std::string(type_name()), *this)); + } + + // transform null object into an object + if (is_null()) + { + m_type = value_t::object; + m_value = value_t::object; + assert_invariant(); + } + + // add element to array (perfect forwarding) + auto res = m_value.object->emplace(std::forward(args)...); + set_parent(res.first->second); + + // create result iterator and set iterator to the result of emplace + auto it = begin(); + it.m_it.object_iterator = res.first; + + // return pair of iterator and boolean + return {it, res.second}; + } + + /// Helper for insertion of an iterator + /// @note: This uses std::distance to support GCC 4.8, + /// see https://github.com/nlohmann/json/pull/1257 + template + iterator insert_iterator(const_iterator pos, Args&& ... args) + { + iterator result(this); + JSON_ASSERT(m_value.array != nullptr); + + auto insert_pos = std::distance(m_value.array->begin(), pos.m_it.array_iterator); + m_value.array->insert(pos.m_it.array_iterator, std::forward(args)...); + result.m_it.array_iterator = m_value.array->begin() + insert_pos; + + // This could have been written as: + // result.m_it.array_iterator = m_value.array->insert(pos.m_it.array_iterator, cnt, val); + // but the return value of insert is missing in GCC 4.8, so it is written this way instead. + + set_parents(); + return result; + } + + /// @brief inserts element into array + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + iterator insert(const_iterator pos, const basic_json& val) + { + // insert only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + // check if iterator pos fits to this JSON value + if (JSON_HEDLEY_UNLIKELY(pos.m_object != this)) + { + JSON_THROW(invalid_iterator::create(202, "iterator does not fit current value", *this)); + } + + // insert to array and return iterator + return insert_iterator(pos, val); + } + + JSON_THROW(type_error::create(309, "cannot use insert() with " + std::string(type_name()), *this)); + } + + /// @brief inserts element into array + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + iterator insert(const_iterator pos, basic_json&& val) + { + return insert(pos, val); + } + + /// @brief inserts copies of element into array + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + iterator insert(const_iterator pos, size_type cnt, const basic_json& val) + { + // insert only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + // check if iterator pos fits to this JSON value + if (JSON_HEDLEY_UNLIKELY(pos.m_object != this)) + { + JSON_THROW(invalid_iterator::create(202, "iterator does not fit current value", *this)); + } + + // insert to array and return iterator + return insert_iterator(pos, cnt, val); + } + + JSON_THROW(type_error::create(309, "cannot use insert() with " + std::string(type_name()), *this)); + } + + /// @brief inserts range of elements into array + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + iterator insert(const_iterator pos, const_iterator first, const_iterator last) + { + // insert only works for arrays + if (JSON_HEDLEY_UNLIKELY(!is_array())) + { + JSON_THROW(type_error::create(309, "cannot use insert() with " + std::string(type_name()), *this)); + } + + // check if iterator pos fits to this JSON value + if (JSON_HEDLEY_UNLIKELY(pos.m_object != this)) + { + JSON_THROW(invalid_iterator::create(202, "iterator does not fit current value", *this)); + } + + // check if range iterators belong to the same JSON object + if (JSON_HEDLEY_UNLIKELY(first.m_object != last.m_object)) + { + JSON_THROW(invalid_iterator::create(210, "iterators do not fit", *this)); + } + + if (JSON_HEDLEY_UNLIKELY(first.m_object == this)) + { + JSON_THROW(invalid_iterator::create(211, "passed iterators may not belong to container", *this)); + } + + // insert to array and return iterator + return insert_iterator(pos, first.m_it.array_iterator, last.m_it.array_iterator); + } + + /// @brief inserts elements from initializer list into array + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + iterator insert(const_iterator pos, initializer_list_t ilist) + { + // insert only works for arrays + if (JSON_HEDLEY_UNLIKELY(!is_array())) + { + JSON_THROW(type_error::create(309, "cannot use insert() with " + std::string(type_name()), *this)); + } + + // check if iterator pos fits to this JSON value + if (JSON_HEDLEY_UNLIKELY(pos.m_object != this)) + { + JSON_THROW(invalid_iterator::create(202, "iterator does not fit current value", *this)); + } + + // insert to array and return iterator + return insert_iterator(pos, ilist.begin(), ilist.end()); + } + + /// @brief inserts range of elements into object + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + void insert(const_iterator first, const_iterator last) + { + // insert only works for objects + if (JSON_HEDLEY_UNLIKELY(!is_object())) + { + JSON_THROW(type_error::create(309, "cannot use insert() with " + std::string(type_name()), *this)); + } + + // check if range iterators belong to the same JSON object + if (JSON_HEDLEY_UNLIKELY(first.m_object != last.m_object)) + { + JSON_THROW(invalid_iterator::create(210, "iterators do not fit", *this)); + } + + // passed iterators must belong to objects + if (JSON_HEDLEY_UNLIKELY(!first.m_object->is_object())) + { + JSON_THROW(invalid_iterator::create(202, "iterators first and last must point to objects", *this)); + } + + m_value.object->insert(first.m_it.object_iterator, last.m_it.object_iterator); + } + + /// @brief updates a JSON object from another object, overwriting existing keys + /// @sa https://json.nlohmann.me/api/basic_json/update/ + void update(const_reference j, bool merge_objects = false) + { + update(j.begin(), j.end(), merge_objects); + } + + /// @brief updates a JSON object from another object, overwriting existing keys + /// @sa https://json.nlohmann.me/api/basic_json/update/ + void update(const_iterator first, const_iterator last, bool merge_objects = false) + { + // implicitly convert null value to an empty object + if (is_null()) + { + m_type = value_t::object; + m_value.object = create(); + assert_invariant(); + } + + if (JSON_HEDLEY_UNLIKELY(!is_object())) + { + JSON_THROW(type_error::create(312, "cannot use update() with " + std::string(type_name()), *this)); + } + + // check if range iterators belong to the same JSON object + if (JSON_HEDLEY_UNLIKELY(first.m_object != last.m_object)) + { + JSON_THROW(invalid_iterator::create(210, "iterators do not fit", *this)); + } + + // passed iterators must belong to objects + if (JSON_HEDLEY_UNLIKELY(!first.m_object->is_object())) + { + JSON_THROW(type_error::create(312, "cannot use update() with " + std::string(first.m_object->type_name()), *first.m_object)); + } + + for (auto it = first; it != last; ++it) + { + if (merge_objects && it.value().is_object()) + { + auto it2 = m_value.object->find(it.key()); + if (it2 != m_value.object->end()) + { + it2->second.update(it.value(), true); + continue; + } + } + m_value.object->operator[](it.key()) = it.value(); +#if JSON_DIAGNOSTICS + m_value.object->operator[](it.key()).m_parent = this; +#endif + } + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(reference other) noexcept ( + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value&& + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value + ) + { + std::swap(m_type, other.m_type); + std::swap(m_value, other.m_value); + + set_parents(); + other.set_parents(); + assert_invariant(); + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + friend void swap(reference left, reference right) noexcept ( + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value&& + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value + ) + { + left.swap(right); + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(array_t& other) // NOLINT(bugprone-exception-escape) + { + // swap only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + std::swap(*(m_value.array), other); + } + else + { + JSON_THROW(type_error::create(310, "cannot use swap() with " + std::string(type_name()), *this)); + } + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(object_t& other) // NOLINT(bugprone-exception-escape) + { + // swap only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + std::swap(*(m_value.object), other); + } + else + { + JSON_THROW(type_error::create(310, "cannot use swap() with " + std::string(type_name()), *this)); + } + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(string_t& other) // NOLINT(bugprone-exception-escape) + { + // swap only works for strings + if (JSON_HEDLEY_LIKELY(is_string())) + { + std::swap(*(m_value.string), other); + } + else + { + JSON_THROW(type_error::create(310, "cannot use swap() with " + std::string(type_name()), *this)); + } + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(binary_t& other) // NOLINT(bugprone-exception-escape) + { + // swap only works for strings + if (JSON_HEDLEY_LIKELY(is_binary())) + { + std::swap(*(m_value.binary), other); + } + else + { + JSON_THROW(type_error::create(310, "cannot use swap() with " + std::string(type_name()), *this)); + } + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(typename binary_t::container_type& other) // NOLINT(bugprone-exception-escape) + { + // swap only works for strings + if (JSON_HEDLEY_LIKELY(is_binary())) + { + std::swap(*(m_value.binary), other); + } + else + { + JSON_THROW(type_error::create(310, "cannot use swap() with " + std::string(type_name()), *this)); + } + } + + /// @} + + public: + ////////////////////////////////////////// + // lexicographical comparison operators // + ////////////////////////////////////////// + + /// @name lexicographical comparison operators + /// @{ + + /// @brief comparison: equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_eq/ + friend bool operator==(const_reference lhs, const_reference rhs) noexcept + { +#ifdef __GNUC__ +#pragma GCC diagnostic push +#pragma GCC diagnostic ignored "-Wfloat-equal" +#endif + const auto lhs_type = lhs.type(); + const auto rhs_type = rhs.type(); + + if (lhs_type == rhs_type) + { + switch (lhs_type) + { + case value_t::array: + return *lhs.m_value.array == *rhs.m_value.array; + + case value_t::object: + return *lhs.m_value.object == *rhs.m_value.object; + + case value_t::null: + return true; + + case value_t::string: + return *lhs.m_value.string == *rhs.m_value.string; + + case value_t::boolean: + return lhs.m_value.boolean == rhs.m_value.boolean; + + case value_t::number_integer: + return lhs.m_value.number_integer == rhs.m_value.number_integer; + + case value_t::number_unsigned: + return lhs.m_value.number_unsigned == rhs.m_value.number_unsigned; + + case value_t::number_float: + return lhs.m_value.number_float == rhs.m_value.number_float; + + case value_t::binary: + return *lhs.m_value.binary == *rhs.m_value.binary; + + case value_t::discarded: + default: + return false; + } + } + else if (lhs_type == value_t::number_integer && rhs_type == value_t::number_float) + { + return static_cast(lhs.m_value.number_integer) == rhs.m_value.number_float; + } + else if (lhs_type == value_t::number_float && rhs_type == value_t::number_integer) + { + return lhs.m_value.number_float == static_cast(rhs.m_value.number_integer); + } + else if (lhs_type == value_t::number_unsigned && rhs_type == value_t::number_float) + { + return static_cast(lhs.m_value.number_unsigned) == rhs.m_value.number_float; + } + else if (lhs_type == value_t::number_float && rhs_type == value_t::number_unsigned) + { + return lhs.m_value.number_float == static_cast(rhs.m_value.number_unsigned); + } + else if (lhs_type == value_t::number_unsigned && rhs_type == value_t::number_integer) + { + return static_cast(lhs.m_value.number_unsigned) == rhs.m_value.number_integer; + } + else if (lhs_type == value_t::number_integer && rhs_type == value_t::number_unsigned) + { + return lhs.m_value.number_integer == static_cast(rhs.m_value.number_unsigned); + } + + return false; +#ifdef __GNUC__ +#pragma GCC diagnostic pop +#endif + } + + /// @brief comparison: equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_eq/ + template::value, int>::type = 0> + friend bool operator==(const_reference lhs, ScalarType rhs) noexcept + { + return lhs == basic_json(rhs); + } + + /// @brief comparison: equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_eq/ + template::value, int>::type = 0> + friend bool operator==(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) == rhs; + } + + /// @brief comparison: not equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ne/ + friend bool operator!=(const_reference lhs, const_reference rhs) noexcept + { + return !(lhs == rhs); + } + + /// @brief comparison: not equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ne/ + template::value, int>::type = 0> + friend bool operator!=(const_reference lhs, ScalarType rhs) noexcept + { + return lhs != basic_json(rhs); + } + + /// @brief comparison: not equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ne/ + template::value, int>::type = 0> + friend bool operator!=(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) != rhs; + } + + /// @brief comparison: less than + /// @sa https://json.nlohmann.me/api/basic_json/operator_lt/ + friend bool operator<(const_reference lhs, const_reference rhs) noexcept + { + const auto lhs_type = lhs.type(); + const auto rhs_type = rhs.type(); + + if (lhs_type == rhs_type) + { + switch (lhs_type) + { + case value_t::array: + // note parentheses are necessary, see + // https://github.com/nlohmann/json/issues/1530 + return (*lhs.m_value.array) < (*rhs.m_value.array); + + case value_t::object: + return (*lhs.m_value.object) < (*rhs.m_value.object); + + case value_t::null: + return false; + + case value_t::string: + return (*lhs.m_value.string) < (*rhs.m_value.string); + + case value_t::boolean: + return (lhs.m_value.boolean) < (rhs.m_value.boolean); + + case value_t::number_integer: + return (lhs.m_value.number_integer) < (rhs.m_value.number_integer); + + case value_t::number_unsigned: + return (lhs.m_value.number_unsigned) < (rhs.m_value.number_unsigned); + + case value_t::number_float: + return (lhs.m_value.number_float) < (rhs.m_value.number_float); + + case value_t::binary: + return (*lhs.m_value.binary) < (*rhs.m_value.binary); + + case value_t::discarded: + default: + return false; + } + } + else if (lhs_type == value_t::number_integer && rhs_type == value_t::number_float) + { + return static_cast(lhs.m_value.number_integer) < rhs.m_value.number_float; + } + else if (lhs_type == value_t::number_float && rhs_type == value_t::number_integer) + { + return lhs.m_value.number_float < static_cast(rhs.m_value.number_integer); + } + else if (lhs_type == value_t::number_unsigned && rhs_type == value_t::number_float) + { + return static_cast(lhs.m_value.number_unsigned) < rhs.m_value.number_float; + } + else if (lhs_type == value_t::number_float && rhs_type == value_t::number_unsigned) + { + return lhs.m_value.number_float < static_cast(rhs.m_value.number_unsigned); + } + else if (lhs_type == value_t::number_integer && rhs_type == value_t::number_unsigned) + { + return lhs.m_value.number_integer < static_cast(rhs.m_value.number_unsigned); + } + else if (lhs_type == value_t::number_unsigned && rhs_type == value_t::number_integer) + { + return static_cast(lhs.m_value.number_unsigned) < rhs.m_value.number_integer; + } + + // We only reach this line if we cannot compare values. In that case, + // we compare types. Note we have to call the operator explicitly, + // because MSVC has problems otherwise. + return operator<(lhs_type, rhs_type); + } + + /// @brief comparison: less than + /// @sa https://json.nlohmann.me/api/basic_json/operator_lt/ + template::value, int>::type = 0> + friend bool operator<(const_reference lhs, ScalarType rhs) noexcept + { + return lhs < basic_json(rhs); + } + + /// @brief comparison: less than + /// @sa https://json.nlohmann.me/api/basic_json/operator_lt/ + template::value, int>::type = 0> + friend bool operator<(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) < rhs; + } + + /// @brief comparison: less than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_le/ + friend bool operator<=(const_reference lhs, const_reference rhs) noexcept + { + return !(rhs < lhs); + } + + /// @brief comparison: less than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_le/ + template::value, int>::type = 0> + friend bool operator<=(const_reference lhs, ScalarType rhs) noexcept + { + return lhs <= basic_json(rhs); + } + + /// @brief comparison: less than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_le/ + template::value, int>::type = 0> + friend bool operator<=(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) <= rhs; + } + + /// @brief comparison: greater than + /// @sa https://json.nlohmann.me/api/basic_json/operator_gt/ + friend bool operator>(const_reference lhs, const_reference rhs) noexcept + { + return !(lhs <= rhs); + } + + /// @brief comparison: greater than + /// @sa https://json.nlohmann.me/api/basic_json/operator_gt/ + template::value, int>::type = 0> + friend bool operator>(const_reference lhs, ScalarType rhs) noexcept + { + return lhs > basic_json(rhs); + } + + /// @brief comparison: greater than + /// @sa https://json.nlohmann.me/api/basic_json/operator_gt/ + template::value, int>::type = 0> + friend bool operator>(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) > rhs; + } + + /// @brief comparison: greater than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ge/ + friend bool operator>=(const_reference lhs, const_reference rhs) noexcept + { + return !(lhs < rhs); + } + + /// @brief comparison: greater than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ge/ + template::value, int>::type = 0> + friend bool operator>=(const_reference lhs, ScalarType rhs) noexcept + { + return lhs >= basic_json(rhs); + } + + /// @brief comparison: greater than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ge/ + template::value, int>::type = 0> + friend bool operator>=(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) >= rhs; + } + + /// @} + + /////////////////// + // serialization // + /////////////////// + + /// @name serialization + /// @{ +#ifndef JSON_NO_IO + /// @brief serialize to stream + /// @sa https://json.nlohmann.me/api/basic_json/operator_ltlt/ + friend std::ostream& operator<<(std::ostream& o, const basic_json& j) + { + // read width member and use it as indentation parameter if nonzero + const bool pretty_print = o.width() > 0; + const auto indentation = pretty_print ? o.width() : 0; + + // reset width to 0 for subsequent calls to this stream + o.width(0); + + // do the actual serialization + serializer s(detail::output_adapter(o), o.fill()); + s.dump(j, pretty_print, false, static_cast(indentation)); + return o; + } + + /// @brief serialize to stream + /// @sa https://json.nlohmann.me/api/basic_json/operator_ltlt/ + /// @deprecated This function is deprecated since 3.0.0 and will be removed in + /// version 4.0.0 of the library. Please use + /// operator<<(std::ostream&, const basic_json&) instead; that is, + /// replace calls like `j >> o;` with `o << j;`. + JSON_HEDLEY_DEPRECATED_FOR(3.0.0, operator<<(std::ostream&, const basic_json&)) + friend std::ostream& operator>>(const basic_json& j, std::ostream& o) + { + return o << j; + } +#endif // JSON_NO_IO + /// @} + + + ///////////////////// + // deserialization // + ///////////////////// + + /// @name deserialization + /// @{ + + /// @brief deserialize from a compatible input + /// @sa https://json.nlohmann.me/api/basic_json/parse/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json parse(InputType&& i, + const parser_callback_t cb = nullptr, + const bool allow_exceptions = true, + const bool ignore_comments = false) + { + basic_json result; + parser(detail::input_adapter(std::forward(i)), cb, allow_exceptions, ignore_comments).parse(true, result); + return result; + } + + /// @brief deserialize from a pair of character iterators + /// @sa https://json.nlohmann.me/api/basic_json/parse/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json parse(IteratorType first, + IteratorType last, + const parser_callback_t cb = nullptr, + const bool allow_exceptions = true, + const bool ignore_comments = false) + { + basic_json result; + parser(detail::input_adapter(std::move(first), std::move(last)), cb, allow_exceptions, ignore_comments).parse(true, result); + return result; + } + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, parse(ptr, ptr + len)) + static basic_json parse(detail::span_input_adapter&& i, + const parser_callback_t cb = nullptr, + const bool allow_exceptions = true, + const bool ignore_comments = false) + { + basic_json result; + parser(i.get(), cb, allow_exceptions, ignore_comments).parse(true, result); + return result; + } + + /// @brief check if the input is valid JSON + /// @sa https://json.nlohmann.me/api/basic_json/accept/ + template + static bool accept(InputType&& i, + const bool ignore_comments = false) + { + return parser(detail::input_adapter(std::forward(i)), nullptr, false, ignore_comments).accept(true); + } + + /// @brief check if the input is valid JSON + /// @sa https://json.nlohmann.me/api/basic_json/accept/ + template + static bool accept(IteratorType first, IteratorType last, + const bool ignore_comments = false) + { + return parser(detail::input_adapter(std::move(first), std::move(last)), nullptr, false, ignore_comments).accept(true); + } + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, accept(ptr, ptr + len)) + static bool accept(detail::span_input_adapter&& i, + const bool ignore_comments = false) + { + return parser(i.get(), nullptr, false, ignore_comments).accept(true); + } + + /// @brief generate SAX events + /// @sa https://json.nlohmann.me/api/basic_json/sax_parse/ + template + JSON_HEDLEY_NON_NULL(2) + static bool sax_parse(InputType&& i, SAX* sax, + input_format_t format = input_format_t::json, + const bool strict = true, + const bool ignore_comments = false) + { + auto ia = detail::input_adapter(std::forward(i)); + return format == input_format_t::json + ? parser(std::move(ia), nullptr, true, ignore_comments).sax_parse(sax, strict) + : detail::binary_reader(std::move(ia)).sax_parse(format, sax, strict); + } + + /// @brief generate SAX events + /// @sa https://json.nlohmann.me/api/basic_json/sax_parse/ + template + JSON_HEDLEY_NON_NULL(3) + static bool sax_parse(IteratorType first, IteratorType last, SAX* sax, + input_format_t format = input_format_t::json, + const bool strict = true, + const bool ignore_comments = false) + { + auto ia = detail::input_adapter(std::move(first), std::move(last)); + return format == input_format_t::json + ? parser(std::move(ia), nullptr, true, ignore_comments).sax_parse(sax, strict) + : detail::binary_reader(std::move(ia)).sax_parse(format, sax, strict); + } + + /// @brief generate SAX events + /// @sa https://json.nlohmann.me/api/basic_json/sax_parse/ + /// @deprecated This function is deprecated since 3.8.0 and will be removed in + /// version 4.0.0 of the library. Please use + /// sax_parse(ptr, ptr + len) instead. + template + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, sax_parse(ptr, ptr + len, ...)) + JSON_HEDLEY_NON_NULL(2) + static bool sax_parse(detail::span_input_adapter&& i, SAX* sax, + input_format_t format = input_format_t::json, + const bool strict = true, + const bool ignore_comments = false) + { + auto ia = i.get(); + return format == input_format_t::json + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + ? parser(std::move(ia), nullptr, true, ignore_comments).sax_parse(sax, strict) + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + : detail::binary_reader(std::move(ia)).sax_parse(format, sax, strict); + } +#ifndef JSON_NO_IO + /// @brief deserialize from stream + /// @sa https://json.nlohmann.me/api/basic_json/operator_gtgt/ + /// @deprecated This stream operator is deprecated since 3.0.0 and will be removed in + /// version 4.0.0 of the library. Please use + /// operator>>(std::istream&, basic_json&) instead; that is, + /// replace calls like `j << i;` with `i >> j;`. + JSON_HEDLEY_DEPRECATED_FOR(3.0.0, operator>>(std::istream&, basic_json&)) + friend std::istream& operator<<(basic_json& j, std::istream& i) + { + return operator>>(i, j); + } + + /// @brief deserialize from stream + /// @sa https://json.nlohmann.me/api/basic_json/operator_gtgt/ + friend std::istream& operator>>(std::istream& i, basic_json& j) + { + parser(detail::input_adapter(i)).parse(false, j); + return i; + } +#endif // JSON_NO_IO + /// @} + + /////////////////////////// + // convenience functions // + /////////////////////////// + + /// @brief return the type as string + /// @sa https://json.nlohmann.me/api/basic_json/type_name/ + JSON_HEDLEY_RETURNS_NON_NULL + const char* type_name() const noexcept + { + switch (m_type) + { + case value_t::null: + return "null"; + case value_t::object: + return "object"; + case value_t::array: + return "array"; + case value_t::string: + return "string"; + case value_t::boolean: + return "boolean"; + case value_t::binary: + return "binary"; + case value_t::discarded: + return "discarded"; + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + default: + return "number"; + } + } + + + JSON_PRIVATE_UNLESS_TESTED: + ////////////////////// + // member variables // + ////////////////////// + + /// the type of the current element + value_t m_type = value_t::null; + + /// the value of the current element + json_value m_value = {}; + +#if JSON_DIAGNOSTICS + /// a pointer to a parent value (for debugging purposes) + basic_json* m_parent = nullptr; +#endif + + ////////////////////////////////////////// + // binary serialization/deserialization // + ////////////////////////////////////////// + + /// @name binary serialization/deserialization support + /// @{ + + public: + /// @brief create a CBOR serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_cbor/ + static std::vector to_cbor(const basic_json& j) + { + std::vector result; + to_cbor(j, result); + return result; + } + + /// @brief create a CBOR serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_cbor/ + static void to_cbor(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_cbor(j); + } + + /// @brief create a CBOR serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_cbor/ + static void to_cbor(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_cbor(j); + } + + /// @brief create a MessagePack serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_msgpack/ + static std::vector to_msgpack(const basic_json& j) + { + std::vector result; + to_msgpack(j, result); + return result; + } + + /// @brief create a MessagePack serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_msgpack/ + static void to_msgpack(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_msgpack(j); + } + + /// @brief create a MessagePack serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_msgpack/ + static void to_msgpack(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_msgpack(j); + } + + /// @brief create a UBJSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_ubjson/ + static std::vector to_ubjson(const basic_json& j, + const bool use_size = false, + const bool use_type = false) + { + std::vector result; + to_ubjson(j, result, use_size, use_type); + return result; + } + + /// @brief create a UBJSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_ubjson/ + static void to_ubjson(const basic_json& j, detail::output_adapter o, + const bool use_size = false, const bool use_type = false) + { + binary_writer(o).write_ubjson(j, use_size, use_type); + } + + /// @brief create a UBJSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_ubjson/ + static void to_ubjson(const basic_json& j, detail::output_adapter o, + const bool use_size = false, const bool use_type = false) + { + binary_writer(o).write_ubjson(j, use_size, use_type); + } + + /// @brief create a BSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_bson/ + static std::vector to_bson(const basic_json& j) + { + std::vector result; + to_bson(j, result); + return result; + } + + /// @brief create a BSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_bson/ + static void to_bson(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_bson(j); + } + + /// @brief create a BSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_bson/ + static void to_bson(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_bson(j); + } + + /// @brief create a JSON value from an input in CBOR format + /// @sa https://json.nlohmann.me/api/basic_json/from_cbor/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_cbor(InputType&& i, + const bool strict = true, + const bool allow_exceptions = true, + const cbor_tag_handler_t tag_handler = cbor_tag_handler_t::error) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::forward(i)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::cbor, &sdp, strict, tag_handler); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in CBOR format + /// @sa https://json.nlohmann.me/api/basic_json/from_cbor/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_cbor(IteratorType first, IteratorType last, + const bool strict = true, + const bool allow_exceptions = true, + const cbor_tag_handler_t tag_handler = cbor_tag_handler_t::error) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::move(first), std::move(last)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::cbor, &sdp, strict, tag_handler); + return res ? result : basic_json(value_t::discarded); + } + + template + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_cbor(ptr, ptr + len)) + static basic_json from_cbor(const T* ptr, std::size_t len, + const bool strict = true, + const bool allow_exceptions = true, + const cbor_tag_handler_t tag_handler = cbor_tag_handler_t::error) + { + return from_cbor(ptr, ptr + len, strict, allow_exceptions, tag_handler); + } + + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_cbor(ptr, ptr + len)) + static basic_json from_cbor(detail::span_input_adapter&& i, + const bool strict = true, + const bool allow_exceptions = true, + const cbor_tag_handler_t tag_handler = cbor_tag_handler_t::error) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = i.get(); + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::cbor, &sdp, strict, tag_handler); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in MessagePack format + /// @sa https://json.nlohmann.me/api/basic_json/from_msgpack/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_msgpack(InputType&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::forward(i)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::msgpack, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in MessagePack format + /// @sa https://json.nlohmann.me/api/basic_json/from_msgpack/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_msgpack(IteratorType first, IteratorType last, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::move(first), std::move(last)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::msgpack, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + template + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_msgpack(ptr, ptr + len)) + static basic_json from_msgpack(const T* ptr, std::size_t len, + const bool strict = true, + const bool allow_exceptions = true) + { + return from_msgpack(ptr, ptr + len, strict, allow_exceptions); + } + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_msgpack(ptr, ptr + len)) + static basic_json from_msgpack(detail::span_input_adapter&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = i.get(); + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::msgpack, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in UBJSON format + /// @sa https://json.nlohmann.me/api/basic_json/from_ubjson/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_ubjson(InputType&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::forward(i)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::ubjson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in UBJSON format + /// @sa https://json.nlohmann.me/api/basic_json/from_ubjson/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_ubjson(IteratorType first, IteratorType last, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::move(first), std::move(last)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::ubjson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + template + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_ubjson(ptr, ptr + len)) + static basic_json from_ubjson(const T* ptr, std::size_t len, + const bool strict = true, + const bool allow_exceptions = true) + { + return from_ubjson(ptr, ptr + len, strict, allow_exceptions); + } + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_ubjson(ptr, ptr + len)) + static basic_json from_ubjson(detail::span_input_adapter&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = i.get(); + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::ubjson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in BSON format + /// @sa https://json.nlohmann.me/api/basic_json/from_bson/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_bson(InputType&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::forward(i)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::bson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in BSON format + /// @sa https://json.nlohmann.me/api/basic_json/from_bson/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_bson(IteratorType first, IteratorType last, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::move(first), std::move(last)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::bson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + template + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_bson(ptr, ptr + len)) + static basic_json from_bson(const T* ptr, std::size_t len, + const bool strict = true, + const bool allow_exceptions = true) + { + return from_bson(ptr, ptr + len, strict, allow_exceptions); + } + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_bson(ptr, ptr + len)) + static basic_json from_bson(detail::span_input_adapter&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = i.get(); + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::bson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + /// @} + + ////////////////////////// + // JSON Pointer support // + ////////////////////////// + + /// @name JSON Pointer functions + /// @{ + + /// @brief access specified element via JSON Pointer + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + reference operator[](const json_pointer& ptr) + { + return ptr.get_unchecked(this); + } + + /// @brief access specified element via JSON Pointer + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + const_reference operator[](const json_pointer& ptr) const + { + return ptr.get_unchecked(this); + } + + /// @brief access specified element via JSON Pointer + /// @sa https://json.nlohmann.me/api/basic_json/at/ + reference at(const json_pointer& ptr) + { + return ptr.get_checked(this); + } + + /// @brief access specified element via JSON Pointer + /// @sa https://json.nlohmann.me/api/basic_json/at/ + const_reference at(const json_pointer& ptr) const + { + return ptr.get_checked(this); + } + + /// @brief return flattened JSON value + /// @sa https://json.nlohmann.me/api/basic_json/flatten/ + basic_json flatten() const + { + basic_json result(value_t::object); + json_pointer::flatten("", *this, result); + return result; + } + + /// @brief unflatten a previously flattened JSON value + /// @sa https://json.nlohmann.me/api/basic_json/unflatten/ + basic_json unflatten() const + { + return json_pointer::unflatten(*this); + } + + /// @} + + ////////////////////////// + // JSON Patch functions // + ////////////////////////// + + /// @name JSON Patch functions + /// @{ + + /// @brief applies a JSON patch + /// @sa https://json.nlohmann.me/api/basic_json/patch/ + basic_json patch(const basic_json& json_patch) const + { + // make a working copy to apply the patch to + basic_json result = *this; + + // the valid JSON Patch operations + enum class patch_operations {add, remove, replace, move, copy, test, invalid}; + + const auto get_op = [](const std::string & op) + { + if (op == "add") + { + return patch_operations::add; + } + if (op == "remove") + { + return patch_operations::remove; + } + if (op == "replace") + { + return patch_operations::replace; + } + if (op == "move") + { + return patch_operations::move; + } + if (op == "copy") + { + return patch_operations::copy; + } + if (op == "test") + { + return patch_operations::test; + } + + return patch_operations::invalid; + }; + + // wrapper for "add" operation; add value at ptr + const auto operation_add = [&result](json_pointer & ptr, basic_json val) + { + // adding to the root of the target document means replacing it + if (ptr.empty()) + { + result = val; + return; + } + + // make sure the top element of the pointer exists + json_pointer top_pointer = ptr.top(); + if (top_pointer != ptr) + { + result.at(top_pointer); + } + + // get reference to parent of JSON pointer ptr + const auto last_path = ptr.back(); + ptr.pop_back(); + basic_json& parent = result[ptr]; + + switch (parent.m_type) + { + case value_t::null: + case value_t::object: + { + // use operator[] to add value + parent[last_path] = val; + break; + } + + case value_t::array: + { + if (last_path == "-") + { + // special case: append to back + parent.push_back(val); + } + else + { + const auto idx = json_pointer::array_index(last_path); + if (JSON_HEDLEY_UNLIKELY(idx > parent.size())) + { + // avoid undefined behavior + JSON_THROW(out_of_range::create(401, "array index " + std::to_string(idx) + " is out of range", parent)); + } + + // default case: insert add offset + parent.insert(parent.begin() + static_cast(idx), val); + } + break; + } + + // if there exists a parent it cannot be primitive + case value_t::string: // LCOV_EXCL_LINE + case value_t::boolean: // LCOV_EXCL_LINE + case value_t::number_integer: // LCOV_EXCL_LINE + case value_t::number_unsigned: // LCOV_EXCL_LINE + case value_t::number_float: // LCOV_EXCL_LINE + case value_t::binary: // LCOV_EXCL_LINE + case value_t::discarded: // LCOV_EXCL_LINE + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + }; + + // wrapper for "remove" operation; remove value at ptr + const auto operation_remove = [this, &result](json_pointer & ptr) + { + // get reference to parent of JSON pointer ptr + const auto last_path = ptr.back(); + ptr.pop_back(); + basic_json& parent = result.at(ptr); + + // remove child + if (parent.is_object()) + { + // perform range check + auto it = parent.find(last_path); + if (JSON_HEDLEY_LIKELY(it != parent.end())) + { + parent.erase(it); + } + else + { + JSON_THROW(out_of_range::create(403, "key '" + last_path + "' not found", *this)); + } + } + else if (parent.is_array()) + { + // note erase performs range check + parent.erase(json_pointer::array_index(last_path)); + } + }; + + // type check: top level value must be an array + if (JSON_HEDLEY_UNLIKELY(!json_patch.is_array())) + { + JSON_THROW(parse_error::create(104, 0, "JSON patch must be an array of objects", json_patch)); + } + + // iterate and apply the operations + for (const auto& val : json_patch) + { + // wrapper to get a value for an operation + const auto get_value = [&val](const std::string & op, + const std::string & member, + bool string_type) -> basic_json & + { + // find value + auto it = val.m_value.object->find(member); + + // context-sensitive error message + const auto error_msg = (op == "op") ? "operation" : "operation '" + op + "'"; + + // check if desired value is present + if (JSON_HEDLEY_UNLIKELY(it == val.m_value.object->end())) + { + // NOLINTNEXTLINE(performance-inefficient-string-concatenation) + JSON_THROW(parse_error::create(105, 0, error_msg + " must have member '" + member + "'", val)); + } + + // check if result is of type string + if (JSON_HEDLEY_UNLIKELY(string_type && !it->second.is_string())) + { + // NOLINTNEXTLINE(performance-inefficient-string-concatenation) + JSON_THROW(parse_error::create(105, 0, error_msg + " must have string member '" + member + "'", val)); + } + + // no error: return value + return it->second; + }; + + // type check: every element of the array must be an object + if (JSON_HEDLEY_UNLIKELY(!val.is_object())) + { + JSON_THROW(parse_error::create(104, 0, "JSON patch must be an array of objects", val)); + } + + // collect mandatory members + const auto op = get_value("op", "op", true).template get(); + const auto path = get_value(op, "path", true).template get(); + json_pointer ptr(path); + + switch (get_op(op)) + { + case patch_operations::add: + { + operation_add(ptr, get_value("add", "value", false)); + break; + } + + case patch_operations::remove: + { + operation_remove(ptr); + break; + } + + case patch_operations::replace: + { + // the "path" location must exist - use at() + result.at(ptr) = get_value("replace", "value", false); + break; + } + + case patch_operations::move: + { + const auto from_path = get_value("move", "from", true).template get(); + json_pointer from_ptr(from_path); + + // the "from" location must exist - use at() + basic_json v = result.at(from_ptr); + + // The move operation is functionally identical to a + // "remove" operation on the "from" location, followed + // immediately by an "add" operation at the target + // location with the value that was just removed. + operation_remove(from_ptr); + operation_add(ptr, v); + break; + } + + case patch_operations::copy: + { + const auto from_path = get_value("copy", "from", true).template get(); + const json_pointer from_ptr(from_path); + + // the "from" location must exist - use at() + basic_json v = result.at(from_ptr); + + // The copy is functionally identical to an "add" + // operation at the target location using the value + // specified in the "from" member. + operation_add(ptr, v); + break; + } + + case patch_operations::test: + { + bool success = false; + JSON_TRY + { + // check if "value" matches the one at "path" + // the "path" location must exist - use at() + success = (result.at(ptr) == get_value("test", "value", false)); + } + JSON_INTERNAL_CATCH (out_of_range&) + { + // ignore out of range errors: success remains false + } + + // throw an exception if test fails + if (JSON_HEDLEY_UNLIKELY(!success)) + { + JSON_THROW(other_error::create(501, "unsuccessful: " + val.dump(), val)); + } + + break; + } + + case patch_operations::invalid: + default: + { + // op must be "add", "remove", "replace", "move", "copy", or + // "test" + JSON_THROW(parse_error::create(105, 0, "operation value '" + op + "' is invalid", val)); + } + } + } + + return result; + } + + /// @brief creates a diff as a JSON patch + /// @sa https://json.nlohmann.me/api/basic_json/diff/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json diff(const basic_json& source, const basic_json& target, + const std::string& path = "") + { + // the patch + basic_json result(value_t::array); + + // if the values are the same, return empty patch + if (source == target) + { + return result; + } + + if (source.type() != target.type()) + { + // different types: replace value + result.push_back( + { + {"op", "replace"}, {"path", path}, {"value", target} + }); + return result; + } + + switch (source.type()) + { + case value_t::array: + { + // first pass: traverse common elements + std::size_t i = 0; + while (i < source.size() && i < target.size()) + { + // recursive call to compare array values at index i + auto temp_diff = diff(source[i], target[i], path + "/" + std::to_string(i)); + result.insert(result.end(), temp_diff.begin(), temp_diff.end()); + ++i; + } + + // We now reached the end of at least one array + // in a second pass, traverse the remaining elements + + // remove my remaining elements + const auto end_index = static_cast(result.size()); + while (i < source.size()) + { + // add operations in reverse order to avoid invalid + // indices + result.insert(result.begin() + end_index, object( + { + {"op", "remove"}, + {"path", path + "/" + std::to_string(i)} + })); + ++i; + } + + // add other remaining elements + while (i < target.size()) + { + result.push_back( + { + {"op", "add"}, + {"path", path + "/-"}, + {"value", target[i]} + }); + ++i; + } + + break; + } + + case value_t::object: + { + // first pass: traverse this object's elements + for (auto it = source.cbegin(); it != source.cend(); ++it) + { + // escape the key name to be used in a JSON patch + const auto path_key = path + "/" + detail::escape(it.key()); + + if (target.find(it.key()) != target.end()) + { + // recursive call to compare object values at key it + auto temp_diff = diff(it.value(), target[it.key()], path_key); + result.insert(result.end(), temp_diff.begin(), temp_diff.end()); + } + else + { + // found a key that is not in o -> remove it + result.push_back(object( + { + {"op", "remove"}, {"path", path_key} + })); + } + } + + // second pass: traverse other object's elements + for (auto it = target.cbegin(); it != target.cend(); ++it) + { + if (source.find(it.key()) == source.end()) + { + // found a key that is not in this -> add it + const auto path_key = path + "/" + detail::escape(it.key()); + result.push_back( + { + {"op", "add"}, {"path", path_key}, + {"value", it.value()} + }); + } + } + + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + // both primitive type: replace value + result.push_back( + { + {"op", "replace"}, {"path", path}, {"value", target} + }); + break; + } + } + + return result; + } + + /// @} + + //////////////////////////////// + // JSON Merge Patch functions // + //////////////////////////////// + + /// @name JSON Merge Patch functions + /// @{ + + /// @brief applies a JSON Merge Patch + /// @sa https://json.nlohmann.me/api/basic_json/merge_patch/ + void merge_patch(const basic_json& apply_patch) + { + if (apply_patch.is_object()) + { + if (!is_object()) + { + *this = object(); + } + for (auto it = apply_patch.begin(); it != apply_patch.end(); ++it) + { + if (it.value().is_null()) + { + erase(it.key()); + } + else + { + operator[](it.key()).merge_patch(it.value()); + } + } + } + else + { + *this = apply_patch; + } + } + + /// @} +}; + +/// @brief user-defined to_string function for JSON values +/// @sa https://json.nlohmann.me/api/basic_json/to_string/ +NLOHMANN_BASIC_JSON_TPL_DECLARATION +std::string to_string(const NLOHMANN_BASIC_JSON_TPL& j) +{ + return j.dump(); +} + +} // namespace nlohmann + +/////////////////////// +// nonmember support // +/////////////////////// + +namespace std // NOLINT(cert-dcl58-cpp) +{ + +/// @brief hash value for JSON objects +/// @sa https://json.nlohmann.me/api/basic_json/std_hash/ +NLOHMANN_BASIC_JSON_TPL_DECLARATION +struct hash +{ + std::size_t operator()(const nlohmann::NLOHMANN_BASIC_JSON_TPL& j) const + { + return nlohmann::detail::hash(j); + } +}; + +// specialization for std::less +template<> +struct less< ::nlohmann::detail::value_t> // do not remove the space after '<', see https://github.com/nlohmann/json/pull/679 +{ + /*! + @brief compare two value_t enum values + @since version 3.0.0 + */ + bool operator()(nlohmann::detail::value_t lhs, + nlohmann::detail::value_t rhs) const noexcept + { + return nlohmann::detail::operator<(lhs, rhs); + } +}; + +// C++20 prohibit function specialization in the std namespace. +#ifndef JSON_HAS_CPP_20 + +/// @brief exchanges the values of two JSON objects +/// @sa https://json.nlohmann.me/api/basic_json/std_swap/ +NLOHMANN_BASIC_JSON_TPL_DECLARATION +inline void swap(nlohmann::NLOHMANN_BASIC_JSON_TPL& j1, nlohmann::NLOHMANN_BASIC_JSON_TPL& j2) noexcept( // NOLINT(readability-inconsistent-declaration-parameter-name) + is_nothrow_move_constructible::value&& // NOLINT(misc-redundant-expression) + is_nothrow_move_assignable::value) +{ + j1.swap(j2); +} + +#endif + +} // namespace std + +/// @brief user-defined string literal for JSON values +/// @sa https://json.nlohmann.me/api/basic_json/operator_literal_json/ +JSON_HEDLEY_NON_NULL(1) +inline nlohmann::json operator "" _json(const char* s, std::size_t n) +{ + return nlohmann::json::parse(s, s + n); +} + +/// @brief user-defined string literal for JSON pointer +/// @sa https://json.nlohmann.me/api/basic_json/operator_literal_json_pointer/ +JSON_HEDLEY_NON_NULL(1) +inline nlohmann::json::json_pointer operator "" _json_pointer(const char* s, std::size_t n) +{ + return nlohmann::json::json_pointer(std::string(s, n)); +} + +// #include + + +// restore clang diagnostic settings +#if defined(__clang__) + #pragma clang diagnostic pop +#endif + +// clean up +#undef JSON_ASSERT +#undef JSON_INTERNAL_CATCH +#undef JSON_CATCH +#undef JSON_THROW +#undef JSON_TRY +#undef JSON_PRIVATE_UNLESS_TESTED +#undef JSON_HAS_CPP_11 +#undef JSON_HAS_CPP_14 +#undef JSON_HAS_CPP_17 +#undef JSON_HAS_CPP_20 +#undef JSON_HAS_FILESYSTEM +#undef JSON_HAS_EXPERIMENTAL_FILESYSTEM +#undef NLOHMANN_BASIC_JSON_TPL_DECLARATION +#undef NLOHMANN_BASIC_JSON_TPL +#undef JSON_EXPLICIT +#undef NLOHMANN_CAN_CALL_STD_FUNC_IMPL + +// #include + + +#undef JSON_HEDLEY_ALWAYS_INLINE +#undef JSON_HEDLEY_ARM_VERSION +#undef JSON_HEDLEY_ARM_VERSION_CHECK +#undef JSON_HEDLEY_ARRAY_PARAM +#undef JSON_HEDLEY_ASSUME +#undef JSON_HEDLEY_BEGIN_C_DECLS +#undef JSON_HEDLEY_CLANG_HAS_ATTRIBUTE +#undef JSON_HEDLEY_CLANG_HAS_BUILTIN +#undef JSON_HEDLEY_CLANG_HAS_CPP_ATTRIBUTE +#undef JSON_HEDLEY_CLANG_HAS_DECLSPEC_DECLSPEC_ATTRIBUTE +#undef JSON_HEDLEY_CLANG_HAS_EXTENSION +#undef JSON_HEDLEY_CLANG_HAS_FEATURE +#undef JSON_HEDLEY_CLANG_HAS_WARNING +#undef JSON_HEDLEY_COMPCERT_VERSION +#undef JSON_HEDLEY_COMPCERT_VERSION_CHECK +#undef JSON_HEDLEY_CONCAT +#undef JSON_HEDLEY_CONCAT3 +#undef JSON_HEDLEY_CONCAT3_EX +#undef JSON_HEDLEY_CONCAT_EX +#undef JSON_HEDLEY_CONST +#undef JSON_HEDLEY_CONSTEXPR +#undef JSON_HEDLEY_CONST_CAST +#undef JSON_HEDLEY_CPP_CAST +#undef JSON_HEDLEY_CRAY_VERSION +#undef JSON_HEDLEY_CRAY_VERSION_CHECK +#undef JSON_HEDLEY_C_DECL +#undef JSON_HEDLEY_DEPRECATED +#undef JSON_HEDLEY_DEPRECATED_FOR +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_ +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION +#undef JSON_HEDLEY_DIAGNOSTIC_POP +#undef JSON_HEDLEY_DIAGNOSTIC_PUSH +#undef JSON_HEDLEY_DMC_VERSION +#undef JSON_HEDLEY_DMC_VERSION_CHECK +#undef JSON_HEDLEY_EMPTY_BASES +#undef JSON_HEDLEY_EMSCRIPTEN_VERSION +#undef JSON_HEDLEY_EMSCRIPTEN_VERSION_CHECK +#undef JSON_HEDLEY_END_C_DECLS +#undef JSON_HEDLEY_FLAGS +#undef JSON_HEDLEY_FLAGS_CAST +#undef JSON_HEDLEY_GCC_HAS_ATTRIBUTE +#undef JSON_HEDLEY_GCC_HAS_BUILTIN +#undef JSON_HEDLEY_GCC_HAS_CPP_ATTRIBUTE +#undef JSON_HEDLEY_GCC_HAS_DECLSPEC_ATTRIBUTE +#undef JSON_HEDLEY_GCC_HAS_EXTENSION +#undef JSON_HEDLEY_GCC_HAS_FEATURE +#undef JSON_HEDLEY_GCC_HAS_WARNING +#undef JSON_HEDLEY_GCC_NOT_CLANG_VERSION_CHECK +#undef JSON_HEDLEY_GCC_VERSION +#undef JSON_HEDLEY_GCC_VERSION_CHECK +#undef JSON_HEDLEY_GNUC_HAS_ATTRIBUTE +#undef JSON_HEDLEY_GNUC_HAS_BUILTIN +#undef JSON_HEDLEY_GNUC_HAS_CPP_ATTRIBUTE +#undef JSON_HEDLEY_GNUC_HAS_DECLSPEC_ATTRIBUTE +#undef JSON_HEDLEY_GNUC_HAS_EXTENSION +#undef JSON_HEDLEY_GNUC_HAS_FEATURE +#undef JSON_HEDLEY_GNUC_HAS_WARNING +#undef JSON_HEDLEY_GNUC_VERSION +#undef JSON_HEDLEY_GNUC_VERSION_CHECK +#undef JSON_HEDLEY_HAS_ATTRIBUTE +#undef JSON_HEDLEY_HAS_BUILTIN +#undef JSON_HEDLEY_HAS_CPP_ATTRIBUTE +#undef JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS +#undef JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE +#undef JSON_HEDLEY_HAS_EXTENSION +#undef JSON_HEDLEY_HAS_FEATURE +#undef JSON_HEDLEY_HAS_WARNING +#undef JSON_HEDLEY_IAR_VERSION +#undef JSON_HEDLEY_IAR_VERSION_CHECK +#undef JSON_HEDLEY_IBM_VERSION +#undef JSON_HEDLEY_IBM_VERSION_CHECK +#undef JSON_HEDLEY_IMPORT +#undef JSON_HEDLEY_INLINE +#undef JSON_HEDLEY_INTEL_CL_VERSION +#undef JSON_HEDLEY_INTEL_CL_VERSION_CHECK +#undef JSON_HEDLEY_INTEL_VERSION +#undef JSON_HEDLEY_INTEL_VERSION_CHECK +#undef JSON_HEDLEY_IS_CONSTANT +#undef JSON_HEDLEY_IS_CONSTEXPR_ +#undef JSON_HEDLEY_LIKELY +#undef JSON_HEDLEY_MALLOC +#undef JSON_HEDLEY_MCST_LCC_VERSION +#undef JSON_HEDLEY_MCST_LCC_VERSION_CHECK +#undef JSON_HEDLEY_MESSAGE +#undef JSON_HEDLEY_MSVC_VERSION +#undef JSON_HEDLEY_MSVC_VERSION_CHECK +#undef JSON_HEDLEY_NEVER_INLINE +#undef JSON_HEDLEY_NON_NULL +#undef JSON_HEDLEY_NO_ESCAPE +#undef JSON_HEDLEY_NO_RETURN +#undef JSON_HEDLEY_NO_THROW +#undef JSON_HEDLEY_NULL +#undef JSON_HEDLEY_PELLES_VERSION +#undef JSON_HEDLEY_PELLES_VERSION_CHECK +#undef JSON_HEDLEY_PGI_VERSION +#undef JSON_HEDLEY_PGI_VERSION_CHECK +#undef JSON_HEDLEY_PREDICT +#undef JSON_HEDLEY_PRINTF_FORMAT +#undef JSON_HEDLEY_PRIVATE +#undef JSON_HEDLEY_PUBLIC +#undef JSON_HEDLEY_PURE +#undef JSON_HEDLEY_REINTERPRET_CAST +#undef JSON_HEDLEY_REQUIRE +#undef JSON_HEDLEY_REQUIRE_CONSTEXPR +#undef JSON_HEDLEY_REQUIRE_MSG +#undef JSON_HEDLEY_RESTRICT +#undef JSON_HEDLEY_RETURNS_NON_NULL +#undef JSON_HEDLEY_SENTINEL +#undef JSON_HEDLEY_STATIC_ASSERT +#undef JSON_HEDLEY_STATIC_CAST +#undef JSON_HEDLEY_STRINGIFY +#undef JSON_HEDLEY_STRINGIFY_EX +#undef JSON_HEDLEY_SUNPRO_VERSION +#undef JSON_HEDLEY_SUNPRO_VERSION_CHECK +#undef JSON_HEDLEY_TINYC_VERSION +#undef JSON_HEDLEY_TINYC_VERSION_CHECK +#undef JSON_HEDLEY_TI_ARMCL_VERSION +#undef JSON_HEDLEY_TI_ARMCL_VERSION_CHECK +#undef JSON_HEDLEY_TI_CL2000_VERSION +#undef JSON_HEDLEY_TI_CL2000_VERSION_CHECK +#undef JSON_HEDLEY_TI_CL430_VERSION +#undef JSON_HEDLEY_TI_CL430_VERSION_CHECK +#undef JSON_HEDLEY_TI_CL6X_VERSION +#undef JSON_HEDLEY_TI_CL6X_VERSION_CHECK +#undef JSON_HEDLEY_TI_CL7X_VERSION +#undef JSON_HEDLEY_TI_CL7X_VERSION_CHECK +#undef JSON_HEDLEY_TI_CLPRU_VERSION +#undef JSON_HEDLEY_TI_CLPRU_VERSION_CHECK +#undef JSON_HEDLEY_TI_VERSION +#undef JSON_HEDLEY_TI_VERSION_CHECK +#undef JSON_HEDLEY_UNAVAILABLE +#undef JSON_HEDLEY_UNLIKELY +#undef JSON_HEDLEY_UNPREDICTABLE +#undef JSON_HEDLEY_UNREACHABLE +#undef JSON_HEDLEY_UNREACHABLE_RETURN +#undef JSON_HEDLEY_VERSION +#undef JSON_HEDLEY_VERSION_DECODE_MAJOR +#undef JSON_HEDLEY_VERSION_DECODE_MINOR +#undef JSON_HEDLEY_VERSION_DECODE_REVISION +#undef JSON_HEDLEY_VERSION_ENCODE +#undef JSON_HEDLEY_WARNING +#undef JSON_HEDLEY_WARN_UNUSED_RESULT +#undef JSON_HEDLEY_WARN_UNUSED_RESULT_MSG +#undef JSON_HEDLEY_FALL_THROUGH + + + +#endif // INCLUDE_NLOHMANN_JSON_HPP_ \ No newline at end of file diff --git a/plugins/netlist_preprocessing/python/python_bindings.cpp b/plugins/netlist_preprocessing/python/python_bindings.cpp index 95bfb70dd51..faa431a9dd9 100644 --- a/plugins/netlist_preprocessing/python/python_bindings.cpp +++ b/plugins/netlist_preprocessing/python/python_bindings.cpp @@ -70,8 +70,8 @@ namespace hal Removes all LUT fan-in endpoints that do not correspond to a variable within the Boolean function that determines the output of a gate. :param hal_py.Netlist nl: The netlist to operate on. - :returns: The number of removed LUT endpoints on success, `None` otherwise. - :rtype: int or None + :returns: The number of removed LUT endpoints on success, ``None`` otherwise. + :rtype: int or ``None`` )"); py_netlist_preprocessing.def_static( @@ -92,17 +92,17 @@ namespace hal R"( Removes buffer gates from the netlist and connect their fan-in to their fan-out nets. Considers all combinational gates and takes their inputs into account. - For example, a 2-input AND gate with one input being connected to constant '1' will also be removed. + For example, a 2-input AND gate with one input being connected to constant ``1`` will also be removed. :param hal_py.Netlist nl: The netlist to operate on. - :returns: The number of removed buffers on success, `None` otherwise. - :rtype: int or None + :returns: The number of removed buffers on success, ``None`` otherwise. + :rtype: int or ``None`` )"); py_netlist_preprocessing.def_static( - "remove_redundant_logic", - [](Netlist* nl) -> std::optional { - auto res = NetlistPreprocessingPlugin::remove_redundant_logic(nl); + "remove_redundant_gates", + [](Netlist* nl, const std::function& filter = nullptr) -> std::optional { + auto res = NetlistPreprocessingPlugin::remove_redundant_gates(nl, filter); if (res.is_ok()) { return res.get(); @@ -114,12 +114,65 @@ namespace hal } }, py::arg("nl"), + py::arg("filter") = nullptr, R"( Removes redundant gates from the netlist, i.e., gates that are functionally equivalent and are connected to the same input nets. :param hal_py.Netlist nl: The netlist to operate on. - :returns: The number of removed gates on success, `None` otherwise. - :rtype: int or None + :param lambda filter: Optional filter to fine-tune which gates are being replaced. Default to a ``None``. + :returns: The number of removed gates on success, ``None`` otherwise. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "remove_redundant_loops", + [](Netlist* nl) -> std::optional { + auto res = NetlistPreprocessingPlugin::remove_redundant_loops(nl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + R"( + Removes redundant sequential feedback loops. + Sometimes flip-flops and some of their combinational fan-in form a feedback loop where the flip-flop input depends on its own output. + For optimization, some synthesizers create multiple equivalent instances of these feedback loops. + To simplify structural analysis, this function removes the redundant flip-flop gate of the loop from the netlist. + Other preprocessing functions can then take care of the remaining combination gates of the loop. + + :param hal_py.Netlist nl: The netlist to operate on. + :returns: The number of removed gates on success, ``None`` otherwise. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "remove_redundant_logic_trees", + [](Netlist* nl) -> std::optional { + auto res = NetlistPreprocessingPlugin::remove_redundant_logic_trees(nl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + R"( + Removes redundant logic trees made up of combinational gates. + If two trees compute the exact same function even if implemented with different gates we will disconnect one of the trees and afterwards clean up all dangling gates and nets. + + :param hal_py.Netlist nl: The netlist to operate on. + :returns: The number of removed gates on success, ``None`` otherwise. + :rtype: int or ``None`` )"); py_netlist_preprocessing.def_static( @@ -138,11 +191,11 @@ namespace hal }, py::arg("nl"), R"( - Removes gates which outputs are all unconnected and not a global output net. + Removes gates for which all fan-out nets do not have a destination and are not global output nets. :param hal_py.Netlist nl: The netlist to operate on. - :returns: The number of removed gates on success, `None` otherwise. - :rtype: int or None + :returns: The number of removed gates on success, ``None`` otherwise. + :rtype: int or ``None`` )"); py_netlist_preprocessing.def_static( @@ -161,11 +214,110 @@ namespace hal }, py::arg("nl"), R"( - Remove nets which have no source and not destination. + Removes nets who have neither a source, nor a destination. + + :param hal_py.Netlist nl: The netlist to operate on. + :returns: The number of removed nets on success, ``None`` otherwise. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "remove_unconnected_looped", + [](Netlist* nl) -> std::optional { + auto res = NetlistPreprocessingPlugin::remove_unconnected_looped(nl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + R"( + Calls remove_unconnected_gates / remove_unconnected_nets until there are no further changes. :param hal_py.Netlist nl: The netlist to operate on. - :returns: The number of removed nets on success, `None` otherwise. - :rtype: int or None + :returns: The number of removed nets and gates on success, ``None`` otherwise. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "manual_mux_optimizations", + [](Netlist* nl, GateLibrary* mux_inv_gl) -> std::optional { + auto res = NetlistPreprocessingPlugin::manual_mux_optimizations(nl, mux_inv_gl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + py::arg("mux_inv_gl"), + R"( + Apply manually implemented optimizations to the netlist centered around muxes. + Currently implemented optimizations include: + - removing inverters incase there are inverter gates in front and behind every data input and output of the mux + - optimizing and therefore unifying possible inverters preceding the select signals by resynthesizing + + :param halp_py.Netlist nl: The netlist to operate on. + :param halp_py.GateLibrary mux_inv_gl: A gate library only containing mux and inverter gates used for resynthesis. + :returns: The difference in the total number of gates caused by these optimizations. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "propagate_constants", + [](Netlist* nl) -> std::optional { + auto res = NetlistPreprocessingPlugin::propagate_constants(nl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + R"( + Builds for all gate output nets the Boolean function and substitutes all variables connected to vcc/gnd nets with the respective boolean value. + If the function simplifies to a static boolean constant cut the connection to the nets destinations and directly connect it to vcc/gnd. + + :param hal_py.Netlist nl: The netlist to operate on. + :returns: The number of rerouted nets on success, ``None`` otherwise. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "remove_consecutive_inverters", + [](Netlist* nl) -> std::optional { + auto res = NetlistPreprocessingPlugin::remove_consecutive_inverters(nl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + R"( + Removes two consecutive inverters and reconnects the input of the first inverter to the output of the second one. + If the first inverter has additional successors, only the second inverter is deleted. + + :param hal_py.Netlist nl: The netlist to operate on. + :returns: The number of removed inverter gates on success, ``None`` otherwise. + :rtype: int or ``None`` )"); py_netlist_preprocessing.def_static( @@ -187,8 +339,8 @@ namespace hal Replaces pins connected to GND/VCC with constants and simplifies the boolean function of a LUT by recomputing the INIT string. :param hal_py.Netlist nl: The netlist to operate on. - :returns: The number of simplified INIT strings on success, `None` otherwise. - :rtype: int or None + :returns: The number of simplified INIT strings on success, ``None`` otherwise. + :rtype: int or ``None`` )"); py_netlist_preprocessing.def_static( @@ -210,15 +362,15 @@ namespace hal py::arg("delete_gate") = true, R"( Builds the Boolean function of each output pin of the gate and constructs a gate tree implementing it. - Afterwards the original output net is connected to the built gate tree and the gate is deleted if the 'delete_gate' flag is set. + Afterwards the original output net is connected to the built gate tree and the gate is deleted if the ``delete_gate`` flag is set. For the decomposition we currently only support the base operands AND, OR, INVERT. The function searches in the gate library for a fitting two input gate and uses a standard HAL gate type if none is found. :param hal_py.Netlist nl: The netlist to operate on. :param hal_py.Gate gate: The gate to decompose. - :param bool delete_gate: Determines whether the original gate gets deleted by the function, defaults to `True`. - :returns: `True` on success, `False` otherwise. + :param bool delete_gate: Determines whether the original gate gets deleted by the function, defaults to ``True``. + :returns: ``True`` on success, ``False`` otherwise. :rtype: bool )"); @@ -239,7 +391,7 @@ namespace hal py::arg("nl"), py::arg("gate_types"), R"( - Decomposes each gate of the specified type by building the Boolean function for each output pin of the gate and contructing a gate tree implementing it. + Decomposes each gate of the specified type by building the Boolean function for each output pin of the gate and constructing a gate tree implementing it. Afterwards the original gate is deleted and the output net is connected to the built gate tree. For the decomposition we currently only support the base operands AND, OR, INVERT. @@ -247,8 +399,153 @@ namespace hal :param hal_py.Netlist nl: The netlist to operate on. :param list[hal_py.GateType] gate_types: The gate types that should be decomposed. - :returns: The number of decomposed gates on success, `None` otherwise. - :rtype: int or None + :returns: The number of decomposed gates on success, ``None`` otherwise. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "resynthesize_gate", + [](Netlist* nl, Gate* g, GateLibrary* target_lib, const std::filesystem::path& genlib_path, const bool delete_gate) -> bool { + auto res = NetlistPreprocessingPlugin::resynthesize_gate(nl, g, target_lib, genlib_path, delete_gate); + if (res.is_ok()) + { + return true; + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return false; + } + }, + py::arg("nl"), + py::arg("g"), + py::arg("target_lib"), + py::arg("genlib_path"), + py::arg("delete_gate") = true, + R"( + Build the Boolean function of the gate and resynthesize a functional description of that function with a logic synthesizer. + Afterwards the original gate is replaced by the technology mapped netlist produced by the synthesizer. + + :param hal_py.Netlist nl: The netlist to operate on. + :param hal_py.Gate g: The gate to resynthesize. + :param hal_py.GateLibrary target_lib: Gate library containing the gates used for technology mapping. + :param path genlib_path: Path to file containing the target library in genlib format. + :param bool delete_gate: Determines whether the original gate gets deleted by the function, defaults to true. + :returns: ``True`` on success, ``False`` otherwise. + :rtype: bool + )"); + + py_netlist_preprocessing.def_static( + "resynthesize_gates", + [](Netlist* nl, const std::vector& gates, GateLibrary* target_lib) -> std::optional { + auto res = NetlistPreprocessingPlugin::resynthesize_gates(nl, gates, target_lib); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + py::arg("gates"), + py::arg("target_lib"), + R"( + Build the Boolean function for each gate and resynthesize a functional description of that function with a logic synthesizer. + Afterwards all the original gates are replaced by the technology mapped netlists produced by the synthesizer. + + :param hal_py.Netlist nl: The netlist to operate on. + :param hal_py.Gate g: The gates to resynthesize. + :param hal_py.GateLibrary target_lib: Gate library containing the gates used for technology mapping. + :returns: The number of resynthesized gates on success, ``None``otherwise. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "resynthesize_gates_of_type", + [](Netlist* nl, const std::vector& gate_types, GateLibrary* target_gl) -> std::optional { + auto res = NetlistPreprocessingPlugin::resynthesize_gates_of_type(nl, gate_types, target_gl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + py::arg("gate_types"), + py::arg("target_gl"), + R"( + Build the Boolean functions of all gates of the specified types and resynthesize a functional description of those functions with a logic synthesizer. + Afterwards the original gates are replaced by the technology mapped netlists produced by the synthesizer. + + :param hal_py.Netlist nl: The netlist to operate on. + :param list[hal_py.GateType] gate_types: The gate types specifying which gates should be resynthesized. + :param hal_py.GateLibrary target_lib: Gate library containing the gates used for technology mapping. + :returns: The number of resynthesized gates on success, ``None`` otherwise. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "resynthesize_subgraph", + [](Netlist* nl, const std::vector& subgraph, GateLibrary* target_gl) -> std::optional { + auto res = NetlistPreprocessingPlugin::resynthesize_subgraph(nl, subgraph, target_gl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + py::arg("subgraph"), + py::arg("target_gl"), + R"( + Build a verilog description of a subgraph of gates and synthesize a new technology mapped netlist of the whole subgraph with a logic synthesizer. + Afterwards the original subgraph is replaced by the technology mapped netlist produced by the synthesizer. + + :param hal_py.Netlist nl: The netlist to operate on. + :param list[hal_py.Gate] subgraph: The subgraph to be resynthesized. + :param hal_py.GateLibrary target_lib: Gate library containing the gates used for technology mapping. + :returns: The number of resynthesized gates on success, ``None`` otherwise. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "resynthesize_subgraph_of_type", + [](Netlist* nl, const std::vector& gate_types, GateLibrary* target_gl) -> std::optional { + auto res = NetlistPreprocessingPlugin::resynthesize_subgraph_of_type(nl, gate_types, target_gl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + py::arg("gate_types"), + py::arg("target_gl"), + R"( + Build a verilog description of the subgraph consisting of all the gates of the specified types. + Then synthesize a new technology mapped netlist of the whole subgraph with a logic synthesizer. + Afterwards the original subgraph is replaced by the technology mapped netlist produced by the synthesizer. + + :param hal_py.Netlist nl: The netlist to operate on. + :param list[hal_py.GateType] gate_types: The gate types specifying which gates should be part of the subgraph. + :param hal_py.GateLibrary target_lib: Gate library containing the gates used for technology mapping. + :returns: The number of resynthesized gates on success, ``None`` otherwise. + :rtype: int or ``None`` )"); py_netlist_preprocessing.def_static( @@ -267,14 +564,37 @@ namespace hal }, py::arg("nl"), R"( - Tries to reconstruct a name and index for each flip flop that was part of a multibit wire in the verilog code. + Tries to reconstruct a name and index for each flip flop that was part of a multi-bit wire in the verilog code. This is NOT a general netlist reverse engineering algorithm and ONLY works on synthesized netlists with names annotated by the synthesizer. This function mainly focuses netlists synthesized with yosys since yosys names the output wires of the flip flops but not the gate it self. We try to reconstruct name and index for each flip flop based on the name of its output nets. :param hal_py.Netlist nl: The netlist to operate on. - :returns: The number of reconstructed names on success, `None` otherwise. - :rtype: int or None + :returns: The number of reconstructed names on success, ``None`` otherwise. + :rtype: int or ``None`` + )"); + + py_netlist_preprocessing.def_static( + "reconstruct_top_module_pin_groups", + [](Netlist* nl) -> std::optional { + auto res = NetlistPreprocessingPlugin::reconstruct_top_module_pin_groups(nl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + R"( + Tries to reconstruct top module pin groups via indexed pin names. + + :param hal_py.Netlist nl: The netlist to operate on. + :returns: The number of reconstructed pin groups on success, ``None`` otherwise. + :rtype: int or ``None`` )"); py_netlist_preprocessing.def_static( @@ -294,15 +614,85 @@ namespace hal py::arg("nl"), py::arg("def_file"), R"( - Parses a design exchange format file and extracts the coordinated of a placed design for each component/gate. + Parses a design exchange format file and extracts the coordinates of a placed design for each component/gate. The extracted coordinates get annotated to the gates. :param hal_py.Netlist nl: The netlist to operate on. :param pathlib.Path def_file: The path to the def file - :returns: `True` on success, `False` otherwise. + :returns: ``True`` on success, ``False`` otherwise. :rtype: bool )"); + py_netlist_preprocessing.def_static( + "create_multi_bit_gate_modules", + [](Netlist* nl, const std::map>>& concatenated_pin_groups) -> std::vector { + auto res = NetlistPreprocessingPlugin::create_multi_bit_gate_modules(nl, concatenated_pin_groups); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return {}; + } + }, + py::arg("nl"), + py::arg("concatenated_pin_groups"), + R"( + Create modules from large gates like RAMs and DSPs with the option to concat multiple gate pin groups to larger consecutive pin groups. + + :param hal_py.Netlist nl: The netlist to operate on. + :param concatenated_pin_groups: + :returns: ``True`` on success, ``False`` otherwise. + :rtype: bool + )"); + + py_netlist_preprocessing.def_static( + "create_nets_at_unconnected_pins", + [](Netlist* nl) -> std::vector { + auto res = NetlistPreprocessingPlugin::create_nets_at_unconnected_pins(nl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return {}; + } + }, + py::arg("nl")); + + py_netlist_preprocessing.def_static( + "unify_ff_outputs", + [](Netlist* nl, const std::vector& ffs = {}, GateType* inverter_type = nullptr) -> std::optional { + auto res = NetlistPreprocessingPlugin::unify_ff_outputs(nl, ffs, inverter_type); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + py::arg("ffs") = std::vector(), + py::arg("inverter_type") = nullptr, + R"( + Iterates all flip-flops of the netlist or specified by the user. + If a flip-flop has a ``state`` and a ``neg_state`` output, a new inverter gate is created and connected to the ``state`` output net as an additional destination. + Finally, the ``neg_state`` output net is disconnected from the ``neg_state`` pin and re-connected to the new inverter gate's output. + + :param hal_py.Netlist nl: The netlist to operate on. + :param list[hal_py.Gate] ffs: The flip-flops to operate on. Defaults to an empty vector, in which case all flip-flops of the netlist are considered. + :param hal_py.GateType inverter_type: The inverter gate type to use. Defaults to a ``None``, in which case the first inverter type found in the gate library is used. + :returns: The number of rerouted ``neg_state`` outputs on success, ``None`` otherwise. + :rtype: int or ``None`` + )"); + #ifndef PYBIND11_MODULE return m.ptr(); #endif // PYBIND11_MODULE diff --git a/plugins/netlist_preprocessing/scripts/gui_test_constant_propagation.py b/plugins/netlist_preprocessing/scripts/gui_test_constant_propagation.py new file mode 100644 index 00000000000..5d83e701d68 --- /dev/null +++ b/plugins/netlist_preprocessing/scripts/gui_test_constant_propagation.py @@ -0,0 +1,3 @@ +from hal_plugins import netlist_preprocessing + +netlist_preprocessing.NetlistPreprocessingPlugin.propagate_constants(netlist) \ No newline at end of file diff --git a/plugins/netlist_preprocessing/scripts/gui_test_gate_resynthesis.py b/plugins/netlist_preprocessing/scripts/gui_test_gate_resynthesis.py new file mode 100644 index 00000000000..3cc9302350d --- /dev/null +++ b/plugins/netlist_preprocessing/scripts/gui_test_gate_resynthesis.py @@ -0,0 +1,13 @@ +from hal_plugins import netlist_preprocessing + +gate_id = 187 +target_gl_path = "/home/simon/projects/hal/plugins/gate_libraries/definitions/helper_libs/aoim_hal_i4.hgl" +genlib_path = "/tmp/new_gate_library.genlib" + +g = netlist.get_gate_by_id(gate_id) + +target_gl = hal_py.GateLibraryManager.load(target_gl_path) +hal_py.GateLibraryManager.save(genlib_path, target_gl, True) + + +netlist_preprocessing.NetlistPreprocessingPlugin.resynthesize_gate(netlist, g, target_gl, genlib_path, True) \ No newline at end of file diff --git a/plugins/netlist_preprocessing/scripts/gui_test_gate_type_resynthesis.py b/plugins/netlist_preprocessing/scripts/gui_test_gate_type_resynthesis.py new file mode 100644 index 00000000000..88f8b93f785 --- /dev/null +++ b/plugins/netlist_preprocessing/scripts/gui_test_gate_type_resynthesis.py @@ -0,0 +1,9 @@ +from hal_plugins import netlist_preprocessing + + +gate_types = netlist.gate_library.get_gate_types(lambda gt : gt.has_property(hal_py.GateTypeProperty.c_lut)) +target_gl_path = "/home/simon/projects/hal/plugins/gate_libraries/definitions/hal_libs/basic_hal_i2.hgl" + +target_gl = hal_py.GateLibraryManager.load(target_gl_path) + +netlist_preprocessing.NetlistPreprocessingPlugin.resynthesize_gates_of_type(netlist, list(gate_types.values()), target_gl) \ No newline at end of file diff --git a/plugins/netlist_preprocessing/scripts/gui_test_remove_buffers.py b/plugins/netlist_preprocessing/scripts/gui_test_remove_buffers.py new file mode 100644 index 00000000000..0d4e3bfd5b0 --- /dev/null +++ b/plugins/netlist_preprocessing/scripts/gui_test_remove_buffers.py @@ -0,0 +1,3 @@ +from hal_plugins import netlist_preprocessing + +netlist_preprocessing.NetlistPreprocessingPlugin.remove_buffers(netlist) \ No newline at end of file diff --git a/plugins/netlist_preprocessing/scripts/gui_test_remove_redundant_gates.py b/plugins/netlist_preprocessing/scripts/gui_test_remove_redundant_gates.py new file mode 100644 index 00000000000..8e7ee6bee55 --- /dev/null +++ b/plugins/netlist_preprocessing/scripts/gui_test_remove_redundant_gates.py @@ -0,0 +1,3 @@ +from hal_plugins import netlist_preprocessing + +netlist_preprocessing.NetlistPreprocessingPlugin.remove_redundant_gates(netlist) \ No newline at end of file diff --git a/plugins/netlist_preprocessing/scripts/gui_test_remove_unused_lut_endpoints.py b/plugins/netlist_preprocessing/scripts/gui_test_remove_unused_lut_endpoints.py new file mode 100644 index 00000000000..d83ff69c3a1 --- /dev/null +++ b/plugins/netlist_preprocessing/scripts/gui_test_remove_unused_lut_endpoints.py @@ -0,0 +1,3 @@ +from hal_plugins import netlist_preprocessing + +netlist_preprocessing.NetlistPreprocessingPlugin.remove_unused_lut_inputs(netlist) \ No newline at end of file diff --git a/plugins/netlist_preprocessing/scripts/gui_test_simplfy_lut_inits.py b/plugins/netlist_preprocessing/scripts/gui_test_simplfy_lut_inits.py new file mode 100644 index 00000000000..4e1de18bf8e --- /dev/null +++ b/plugins/netlist_preprocessing/scripts/gui_test_simplfy_lut_inits.py @@ -0,0 +1,3 @@ +from hal_plugins import netlist_preprocessing + +netlist_preprocessing.NetlistPreprocessingPlugin.simplify_lut_inits(netlist) \ No newline at end of file diff --git a/plugins/netlist_preprocessing/scripts/gui_test_subgraph_resynthesis.py b/plugins/netlist_preprocessing/scripts/gui_test_subgraph_resynthesis.py new file mode 100644 index 00000000000..32e6365564e --- /dev/null +++ b/plugins/netlist_preprocessing/scripts/gui_test_subgraph_resynthesis.py @@ -0,0 +1,12 @@ +from hal_plugins import netlist_preprocessing + +gate_id = 14828 +target_gl_path = "/home/simon/projects/hal/plugins/gate_libraries/definitions/helper_libs/aoim_hal_i4.hgl" +genlib_path = "/tmp/new_gate_library.genlib" + +g = netlist.get_gate_by_id(gate_id) + +target_gl = hal_py.GateLibraryManager.load(target_gl_path) +#hal_py.GateLibraryManager.save(genlib_path, target_gl, True) + +netlist_preprocessing.NetlistPreprocessingPlugin.resynthesize_subgraph(netlist, [g], target_gl) \ No newline at end of file diff --git a/plugins/netlist_preprocessing/scripts/gui_test_subgraph_type_resynthesis.py b/plugins/netlist_preprocessing/scripts/gui_test_subgraph_type_resynthesis.py new file mode 100644 index 00000000000..257117ed961 --- /dev/null +++ b/plugins/netlist_preprocessing/scripts/gui_test_subgraph_type_resynthesis.py @@ -0,0 +1,10 @@ +from hal_plugins import netlist_preprocessing + +#gate_types = netlist.gate_library.get_gate_types(lambda gt : gt.has_property(hal_py.GateTypeProperty.c_lut)) +gate_types = netlist.gate_library.get_gate_types(lambda gt : "HAL_" in gt.name) + +target_gl_path = "/home/simon/projects/hal/plugins/gate_libraries/definitions/hal_libs/basic_hal_i2.hgl" + +target_gl = hal_py.GateLibraryManager.load(target_gl_path) + +netlist_preprocessing.NetlistPreprocessingPlugin.resynthesize_subgraph_of_type(netlist, list(gate_types.values()), target_gl) \ No newline at end of file diff --git a/plugins/netlist_preprocessing/src/plugin_netlist_preprocessing.cpp b/plugins/netlist_preprocessing/src/plugin_netlist_preprocessing.cpp index c655b81afc4..a339ca34e3c 100644 --- a/plugins/netlist_preprocessing/src/plugin_netlist_preprocessing.cpp +++ b/plugins/netlist_preprocessing/src/plugin_netlist_preprocessing.cpp @@ -10,11 +10,15 @@ #include "hal_core/netlist/gate_library/gate_library_manager.h" #include "hal_core/netlist/module.h" #include "hal_core/netlist/net.h" +#include "hal_core/netlist/netlist_factory.h" #include "hal_core/netlist/netlist_utils.h" +#include "hal_core/netlist/netlist_writer/netlist_writer_manager.h" +#include "hal_core/netlist/pins/module_pin.h" #include "hal_core/utilities/result.h" #include "hal_core/utilities/token_stream.h" - +#include "netlist_preprocessing/utils/json.hpp" #include "rapidjson/document.h" +#include "z3_utils.h" #include #include @@ -83,7 +87,8 @@ namespace hal if (original_inits.size() != 1) { - return ERR("unable to simplify lut init string for gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": found " + std::to_string(original_inits.size()) + " init data strings but expected exactly 1."); + return ERR("unable to simplify lut init string for gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": found " + std::to_string(original_inits.size()) + + " init data strings but expected exactly 1."); } const auto original_init = original_inits.front(); @@ -105,7 +110,13 @@ namespace hal const auto bf_org = g->get_boolean_function(out_ep->get_pin()); const auto org_vars = bf_org.get_variable_names(); - const auto bf_replaced = BooleanFunctionDecorator(bf_org).substitute_power_ground_pins(g).get(); + const auto bf_replaced_res = BooleanFunctionDecorator(bf_org).substitute_power_ground_pins(g); + if (bf_replaced_res.is_error()) + { + return ERR_APPEND(bf_replaced_res.get_error(), + "cannot simplify LUT inits: failed to replace power and ground pins for gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + const auto bf_replaced = bf_replaced_res.get(); const auto bf_simplified = bf_replaced.simplify_local(); const auto new_vars = bf_simplified.get_variable_names(); @@ -181,6 +192,11 @@ namespace hal { for (const auto& ep : fan_in) { + if (ep->get_net()->is_gnd_net() || ep->get_net()->is_vcc_net()) + { + continue; + } + if (std::find(active_pins.begin(), active_pins.end(), ep->get_pin()->get_name()) == active_pins.end()) { GatePin* pin = ep->get_pin(); @@ -206,6 +222,8 @@ namespace hal return OK(num_eps); } + // TODO make this check every pin of a gate and check whether the generated boolean function (with replaced gnd and vcc nets) is just a variable. + // Afterwards just connect input net to buffer destination. Do this for all pins and delete gate if it has no more successors and not global outputs Result NetlistPreprocessingPlugin::remove_buffers(Netlist* nl) { u32 num_gates = 0; @@ -304,6 +322,8 @@ namespace hal gates_to_be_deleted.push(gate); } } + // TODO this functionality is not a buffer and is covered by propagate_constants + /* else if (func.is_constant() && (func.has_constant_value(0) || func.has_constant_value(1))) { auto* out_net = out_endpoint->get_net(); @@ -417,6 +437,7 @@ namespace hal gates_to_be_deleted.push(gate); } } + */ } log_debug("netlist_preprocessing", "removing {} buffer gates...", gates_to_be_deleted.size()); @@ -437,214 +458,6 @@ namespace hal return OK(num_gates); } - Result NetlistPreprocessingPlugin::remove_redundant_logic(Netlist* nl) - { - const auto& nets = nl->get_nets(); - auto nets_to_check = std::set(nets.begin(), nets.end()); - - u32 num_gates = 0; - while (!nets_to_check.empty()) - { - auto* current_net = *nets_to_check.begin(); - nets_to_check.erase(current_net); - - // only continue for nets with multiple destinations - if (current_net->get_num_of_destinations() <= 1) - { - nets_to_check.erase(current_net); - continue; - } - - std::set visited_gates; - std::vector> gates_to_delete; - auto destinations = current_net->get_destinations(); - for (u32 i = 0; i < destinations.size(); i++) - { - auto* master_destination = destinations.at(i); - auto* master_gate = master_destination->get_gate(); - - // check if we have already identified the current master gate as duplicate of some other gate - if (visited_gates.find(master_gate) != visited_gates.end()) - { - continue; - } - - // skip everything that is not combinational, a FF, or a latch - auto* master_type = master_gate->get_type(); - - // cache master input nets and endpoints - std::variant, std::map> master_inputs; - if (master_type->has_property(GateTypeProperty::combinational)) - { - // for combinational gates, the order of inputs will be considered by the final SMT check only (accounts for commutative Boolean functions) - auto tmp = master_gate->get_fan_in_nets(); - std::sort(tmp.begin(), tmp.end()); - master_inputs = std::move(tmp); - } - else if (master_type->has_property(GateTypeProperty::ff) || master_type->has_property(GateTypeProperty::latch)) - { - // for FF and latch gates, pins and nets must match exactly - std::map tmp; - for (auto& ep : master_gate->get_fan_in_endpoints()) - { - tmp[ep->get_pin()] = ep->get_net(); - } - master_inputs = std::move(tmp); - } - else - { - continue; - } - - // identify duplicate gates - std::vector duplicate_gates = {master_gate}; - for (u32 j = i + 1; j < destinations.size(); j++) - { - auto* current_destination = destinations.at(j); - auto* current_gate = current_destination->get_gate(); - - if (current_gate == master_gate) - { - continue; - } - - // check if we have already identified the current gate as duplicate of some other gate - if (visited_gates.find(current_gate) != visited_gates.end()) - { - continue; - } - - // check against master gate type - auto* current_type = current_gate->get_type(); - if (current_type != master_type) - { - continue; - } - - // check current inputs against master input nets - std::variant, std::map> current_inputs; - if (master_type->has_property(GateTypeProperty::combinational)) - { - // for combinational gates, the order of inputs will be considered by the final SMT check only (accounts for commutative Boolean functions) - auto tmp = current_gate->get_fan_in_nets(); - std::sort(tmp.begin(), tmp.end()); - current_inputs = std::move(tmp); - } - else if (master_type->has_property(GateTypeProperty::ff) || master_type->has_property(GateTypeProperty::latch)) - { - // for FF and latch gates, pins and nets must match exactly - std::map tmp; - for (auto& ep : current_gate->get_fan_in_endpoints()) - { - tmp[ep->get_pin()] = ep->get_net(); - } - current_inputs = std::move(tmp); - } - - if (current_inputs != master_inputs) - { - continue; - } - - if (master_type->has_property(GateTypeProperty::combinational)) - { - // SMT Boolean function equivalence check for combinational gates - bool skip_gate = false; - for (const auto* pin : master_type->get_output_pins()) - { - const auto solver_res = - master_gate->get_resolved_boolean_function(pin) - .map([pin, current_gate](BooleanFunction&& bf_master) { - return current_gate->get_resolved_boolean_function(pin).map( - [bf_master = std::move(bf_master)](BooleanFunction&& bf_current) mutable { return BooleanFunction::Eq(std::move(bf_master), std::move(bf_current), 1); }); - }) - .map([](auto&& bf_eq) { return BooleanFunction::Not(bf_eq.clone(), 1); }) - .map([](auto&& bf_not) -> Result { return SMT::Solver({SMT::Constraint(std::move(bf_not))}).query(SMT::QueryConfig()); }); - - if (solver_res.is_error() || !solver_res.get().is_unsat()) - { - skip_gate = true; - break; - } - } - - if (skip_gate) - { - continue; - } - } - - // gate is determined to be duplicate of other gate - duplicate_gates.push_back(current_gate); - visited_gates.insert(current_gate); - } - - // remove duplicate gates - if (duplicate_gates.size() > 1) - { - gates_to_delete.push_back(duplicate_gates); - } - } - - for (auto& duplicate_gates : gates_to_delete) - { - auto* surviver_gate = duplicate_gates.front(); - std::map out_pins_to_nets; - for (auto* ep : surviver_gate->get_fan_out_endpoints()) - { - out_pins_to_nets[ep->get_pin()] = ep->get_net(); - nets_to_check.insert(ep->get_net()); - } - - for (u32 k = 1; k < duplicate_gates.size(); k++) - { - auto* current_gate = duplicate_gates.at(k); - for (auto* ep : current_gate->get_fan_out_endpoints()) - { - auto* ep_net = ep->get_net(); - auto* ep_pin = ep->get_pin(); - - if (auto it = out_pins_to_nets.find(ep_pin); it != out_pins_to_nets.end()) - { - // surviver already has net connected to this output -> add destination to surviver's net - for (auto* dst : ep_net->get_destinations()) - { - auto* dst_gate = dst->get_gate(); - auto* dst_pin = dst->get_pin(); - dst->get_net()->remove_destination(dst); - it->second->add_destination(dst_gate, dst_pin); - } - if (!nl->delete_net(ep_net)) - { - log_warning("netlist_preprocessing", "could not delete net '{}' with ID {} from netlist with ID {}.", ep_net->get_name(), ep_net->get_id(), nl->get_id()); - } - nets_to_check.erase(ep_net); - } - else - { - // surviver does not feature net on this output pin -> connect this net to surviver - ep_net->add_source(surviver_gate, ep_pin); - out_pins_to_nets[ep_pin] = ep_net; - nets_to_check.insert(ep_net); - } - } - - if (!nl->delete_gate(current_gate)) - { - log_warning("netlist_preprocessing", "could not delete gate '{}' with ID {} from netlist with ID {}.", current_gate->get_name(), current_gate->get_id(), nl->get_id()); - } - else - { - num_gates++; - } - } - } - } - - log_info("netlist_preprocessing", "removed {} redundant logic gates from netlist with ID {}.", num_gates, nl->get_id()); - return OK(num_gates); - } - Result NetlistPreprocessingPlugin::remove_unconnected_gates(Netlist* nl) { u32 num_gates = 0; @@ -717,377 +530,927 @@ namespace hal return OK(num_nets); } - namespace + Result NetlistPreprocessingPlugin::remove_unconnected_looped(Netlist* nl) { - Result, std::vector>> - find_gate_type(const GateLibrary* gl, const std::set& properties, const u32 num_inputs, const u32 num_outputs) - { - const auto get_valid_input_pins = [](const GateType* gt) -> std::vector { - return gt->get_pins([](const GatePin* gp) { return (gp->get_direction() == PinDirection::input) && (gp->get_type() != PinType::power) && (gp->get_type() != PinType::ground); }); - }; - - const auto get_valid_output_pins = [](const GateType* gt) -> std::vector { - return gt->get_pins([](const GatePin* gp) { return (gp->get_direction() == PinDirection::output) && (gp->get_type() != PinType::power) && (gp->get_type() != PinType::ground); }); - }; - - // get types that match exactly with the properties and have the exact amount of input pins (excluding power pins) - const auto candidates = gl->get_gate_types([properties, num_inputs, get_valid_input_pins, num_outputs, get_valid_output_pins](const GateType* gt) { - return (gt->get_properties() == properties) && (get_valid_input_pins(gt).size() == num_inputs) && (get_valid_output_pins(gt).size() == num_outputs); - }); - - if (candidates.empty()) - { - return ERR("Unable to find gate type matching the description"); - } - - GateType* valid_gate_type = candidates.begin()->second; - - return OK({valid_gate_type, get_valid_input_pins(valid_gate_type), get_valid_output_pins(valid_gate_type)}); - } + u32 total_removed = 0; - // TODO change this to return a netlist. This would allow saving the decomposition of a specifc gate type - Result build_gate_tree_from_boolean_function(Netlist* nl, const BooleanFunction& bf, const std::map& var_name_to_net, const Gate* org_gate = nullptr) + while (true) { - const auto create_gate_name = [](const Gate* new_gate, const Gate* original_gate) -> std::string { - const std::string new_name = (original_gate == nullptr) ? "new_gate_" : original_gate->get_name() + "_decomposed_"; - return new_name + std::to_string(new_gate->get_id()); - }; - - const auto create_net_name = [](const Net* new_net, const Gate* original_gate) -> std::string { - const std::string new_name = (original_gate == nullptr) ? "new_net_" : original_gate->get_name() + "_decomposed_"; - return new_name + std::to_string(new_net->get_id()); - }; - - if (bf.is_empty()) + auto gate_res = NetlistPreprocessingPlugin::remove_unconnected_gates(nl); + if (gate_res.is_error()) { - return ERR("cannot build gate tree for Boolean function: Boolean function is empty"); + return ERR_APPEND(gate_res.get_error(), "unable to execute clean up loop: failed to remove unconnected gates"); } - if (bf.is_index()) + auto net_res = NetlistPreprocessingPlugin::remove_unconnected_nets(nl); + if (net_res.is_error()) { - return ERR("cannot build gate tree for Boolean function: Boolean function is of type index"); + return ERR_APPEND(net_res.get_error(), "unable to execute clean up loop: failed to remove unconnected nets"); } - if (bf.size() != 1) + const u32 removed = gate_res.get() + net_res.get(); + total_removed += removed; + if (!removed) { - return ERR("cannot build gate tree for Boolean function: Boolean function if of size " + std::to_string(bf.size()) + " but we only handle size 1"); + break; } + } - if (bf.is_constant()) - { - if (bf.has_constant_value(0)) - { - static Net* zero = nl->get_nets([](const Net* n) { return n->is_gnd_net(); }).front(); - return OK(zero); - } + return OK(total_removed); + } - if (bf.has_constant_value(1)) - { - static Net* one = nl->get_nets([](const Net* n) { return n->is_vcc_net(); }).front(); - return OK(one); - } - } + namespace + { + std::unordered_map> restore_ff_replacements(const Netlist* nl) + { + std::unordered_map> replacements; - if (bf.is_variable()) + for (auto& g : nl->get_gates()) { - if (const auto it = var_name_to_net.find(bf.get_variable_name().get()); it == var_name_to_net.end()) - { - return ERR("Cannot build gate tree for Boolean function: Found variable " + bf.get_variable_name().get() + " with no corresponding net provided."); - } - else + if (g->has_data("preprocessing_information", "replaced_gates")) { - return OK(it->second); + const auto& [_, s] = g->get_data("preprocessing_information", "replaced_gates"); + std::vector replaced_gate_names = nlohmann::json::parse(s); + replacements.insert({g, replaced_gate_names}); } } - if (!bf.get_top_level_node().is_operation()) - { - return ERR("Cannot build gate tree for Boolean function: cannot handle node type of top level node " + bf.get_top_level_node().to_string()); - } - - const auto operation = bf.get_top_level_node().type; - const auto parameters = bf.get_parameters(); - - // TODO put this into a function that only searches for the gate types when they are actually needed - static const auto inv_type_res = find_gate_type(nl->get_gate_library(), {GateTypeProperty::combinational, GateTypeProperty::c_inverter}, 1, 1); - static const auto and_type_res = find_gate_type(nl->get_gate_library(), {GateTypeProperty::combinational, GateTypeProperty::c_and}, 2, 1); - static const auto or_type_res = find_gate_type(nl->get_gate_library(), {GateTypeProperty::combinational, GateTypeProperty::c_or}, 2, 1); - static const auto xor_type_res = find_gate_type(nl->get_gate_library(), {GateTypeProperty::combinational, GateTypeProperty::c_xor}, 2, 1); - - if (inv_type_res.is_error()) - { - return ERR("Cannot build gate tree for Boolean function: failed to find valid inverter gate type"); - } + return replacements; + } - if (and_type_res.is_error()) + void update_ff_replacements(std::unordered_map>& replacements) + { + for (auto& [g, r] : replacements) { - return ERR("Cannot build gate tree for Boolean function: failed to find valid and gate type"); - } + const nlohmann::json j = r; + const std::string s = j.dump(); - if (or_type_res.is_error()) - { - return ERR("Cannot build gate tree for Boolean function: failed to find valid or gate type"); + g->set_data("preprocessing_information", "replaced_gates", "string", s); } - if (xor_type_res.is_error()) - { - return ERR("Cannot build gate tree for Boolean function: failed to find valid xor gate type"); - } + return; + } - const std::map, std::vector>> node_type_to_gate_type = { - {BooleanFunction::NodeType::Not, inv_type_res.get()}, - {BooleanFunction::NodeType::And, and_type_res.get()}, - {BooleanFunction::NodeType::Or, or_type_res.get()}, - {BooleanFunction::NodeType::Xor, xor_type_res.get()}, - }; + void annotate_ff_survivor(std::unordered_map>& replacements, Gate* survivor, Gate* to_be_replaced) + { + auto& it_s = replacements[survivor]; - std::vector parameter_nets; - for (const auto& p : parameters) + if (const auto& it = replacements.find(to_be_replaced); it != replacements.end()) { - const auto tree_res = build_gate_tree_from_boolean_function(nl, p, var_name_to_net, org_gate); - if (tree_res.is_error()) + for (const auto& s : it->second) { - return ERR_APPEND(tree_res.get_error(), "Cannot build gate tree for Boolean function: failed to do so for sub tree"); - } - parameter_nets.push_back(tree_res.get()); - } - - Gate* new_gate = nullptr; - Net* output_net = nl->create_net("__TEMP_NET_NAME__DECOMPOSED__"); - output_net->set_name(create_net_name(output_net, org_gate)); - - switch (operation) - { - case BooleanFunction::NodeType::Not: - case BooleanFunction::NodeType::And: - case BooleanFunction::NodeType::Or: - case BooleanFunction::NodeType::Xor: { - auto [gt, in_pins, out_pins] = node_type_to_gate_type.at(operation); - new_gate = nl->create_gate(gt, "__TEMP_GATE_NAME__DECOMPOSED__"); - for (u32 idx = 0; idx < parameter_nets.size(); idx++) - { - parameter_nets.at(idx)->add_destination(new_gate, in_pins.at(idx)); - } - output_net->add_source(new_gate, out_pins.front()); - break; + it_s.push_back(s); } - default: - break; - } - - if (new_gate == nullptr) - { - return ERR("Cannot build gate tree for Boolean function: failed to create gate for operation " + bf.get_top_level_node().to_string()); + replacements.erase(it); } - new_gate->set_name(create_gate_name(new_gate, org_gate)); + it_s.push_back(to_be_replaced->get_name()); - if (org_gate != nullptr && !org_gate->get_module()->is_top_module()) - { - org_gate->get_module()->assign_gate(new_gate); - } - - return OK(output_net); + return; } } // namespace - Result NetlistPreprocessingPlugin::decompose_gate(Netlist* nl, Gate* g, const bool delete_gate) + Result NetlistPreprocessingPlugin::remove_redundant_gates(Netlist* nl, const std::function& filter) { - // build Boolean function for each output pin of the gate - std::map output_pin_name_to_bf; - for (const auto& out_ep : g->get_fan_out_endpoints()) - { - const auto bf_res = g->get_resolved_boolean_function(out_ep->get_pin()); - if (bf_res.is_error()) - { - return ERR_APPEND(bf_res.get_error(), - "unable to decompose gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to resolve Boolean function for pin " - + out_ep->get_pin()->get_name()); - } - output_pin_name_to_bf.insert({out_ep->get_pin()->get_name(), bf_res.get()}); - } - - // map which variables in the Boolean function belong to which net - std::map var_name_to_net; - for (const auto& in_ep : g->get_fan_in_endpoints()) + auto config = hal::SMT::QueryConfig(); + +#ifdef BITWUZLA_LIBRARY + auto s_type = hal::SMT::SolverType::Bitwuzla; + auto s_call = hal::SMT::SolverCall::Library; + config = config.with_solver(s_type).with_call(s_call); +#endif + struct GateFingerprint { - var_name_to_net.insert({BooleanFunctionNetDecorator(*(in_ep->get_net())).get_boolean_variable_name(), in_ep->get_net()}); - } + const GateType* type; + std::map ordered_fan_in = {}; + std::set unordered_fan_in = {}; + u8 truth_table_hw = 0; - // build gate tree for each output function and merge the tree output net with the origianl output net - for (const auto& [pin_name, bf] : output_pin_name_to_bf) - { - Net* output_net = g->get_fan_out_net(pin_name); - if (output_net == nullptr) + bool operator<(const GateFingerprint& other) const { - continue; + return (other.type < type) || (other.type == type && other.ordered_fan_in < ordered_fan_in) + || (other.type == type && other.ordered_fan_in == ordered_fan_in && other.unordered_fan_in < unordered_fan_in) + || (other.type == type && other.ordered_fan_in == ordered_fan_in && other.unordered_fan_in == unordered_fan_in && other.truth_table_hw < truth_table_hw); } + }; - const auto tree_res = build_gate_tree_from_boolean_function(nl, bf, var_name_to_net, g); - if (tree_res.is_error()) - { - return ERR_APPEND(tree_res.get_error(), - "unable to decompose gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to build gate tree for output net at pin " + pin_name); - } + static std::vector hw_map = {0, 1, 1, 2, 1, 2, 2, 3, 1, 2, 2, 3, 2, 3, 3, 4}; - auto new_output_net = tree_res.get(); + u32 num_gates = 0; + bool progress; - const auto slave_net = new_output_net->is_global_input_net() ? output_net : new_output_net; - const auto master_net = new_output_net->is_global_input_net() ? new_output_net : output_net; - const auto merge_res = NetlistModificationDecorator(*nl).connect_nets(master_net, slave_net); - // const auto merge_res = netlist_utils::merge_nets(nl, new_output_net, output_net, new_output_net->is_global_input_net()); - if (merge_res.is_error()) - { - return ERR_APPEND(merge_res.get_error(), - "unable to decompose gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to merge newly created output net with already existing one."); - } + std::vector target_gates; + if (filter) + { + target_gates = nl->get_gates([filter](const Gate* g) { + const auto& type = g->get_type(); + return (type->has_property(GateTypeProperty::combinational) || type->has_property(GateTypeProperty::ff)) && filter(g); + }); } - - if (delete_gate) + else { - if (!nl->delete_gate(g)) - { - return ERR("unable to decompose gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to delete original gate."); - } + target_gates = nl->get_gates([](const Gate* g) { + const auto& type = g->get_type(); + return type->has_property(GateTypeProperty::combinational) || type->has_property(GateTypeProperty::ff); + }); } - return OK({}); - } + auto ff_replacements = restore_ff_replacements(nl); - Result NetlistPreprocessingPlugin::decompose_gates_of_type(Netlist* nl, const std::vector& gate_types) - { - u32 counter = 0; - for (const auto& gt : gate_types) + do { - std::vector to_delete; - for (const auto& g : nl->get_gates([gt](const Gate* g) { return g->get_type() == gt; })) + std::map> fingerprinted_gates; + + progress = false; + + for (auto* gate : target_gates) { - const auto decompose_res = decompose_gate(nl, g, false); - if (decompose_res.is_error()) + GateFingerprint fingerprint; + fingerprint.type = gate->get_type(); + if (fingerprint.type->has_property(GateTypeProperty::combinational)) { - return ERR_APPEND(decompose_res.get_error(), - "unable to decompose gates of type " + gt->get_name() + ": failed for gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + const auto& fan_in_nets = gate->get_fan_in_nets(); + fingerprint.unordered_fan_in.insert(fan_in_nets.cbegin(), fan_in_nets.cend()); + if (fingerprint.type->has_property(GateTypeProperty::c_lut)) + { + if (const auto res = gate->get_init_data(); res.is_ok()) + { + const auto& init_str = res.get().front(); + for (const auto c : init_str) + { + u8 tmp = std::toupper(c) - 0x30; + if (tmp > 9) + { + tmp -= 0x7; + } + fingerprint.truth_table_hw += hw_map.at(tmp); + } + } + } } - to_delete.push_back(g); - } - - for (const auto& g : to_delete) - { - counter += 1; - if (!nl->delete_gate(g)) + else if (fingerprint.type->has_property(GateTypeProperty::ff)) { - return ERR("unable to decompose gates of type " + gt->get_name() + ": failed to delete gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + for (const auto& ep : gate->get_fan_in_endpoints()) + { + fingerprint.ordered_fan_in[ep->get_pin()] = ep->get_net(); + } } - } - } - - return OK(counter); - } - namespace - { - // TODO add resynthesis with ABC (passing a gate level netlist and passing only the boolean functions) - /* - std::string build_combinational_verilog_module_from(const std::unordered_map& bfs) - { - std::unordered_set input_variable_names; + fingerprinted_gates[fingerprint].push_back(gate); + } - for (const auto& [name, bf] : bfs) + std::vector> duplicate_gates; + for (const auto& [fingerprint, gates] : fingerprinted_gates) { - for (const auto& var_name : bf.get_variable_names()) + if (gates.size() == 1) { - input_variable_names.insert(var_name); + continue; } - } - std::string verilog_str = "module top ("; - - std::string var_str = ""; - std::string io_str = ""; - std::string function_str = ""; + if (fingerprint.type->has_property(GateTypeProperty::combinational)) + { + std::set visited; + for (size_t i = 0; i < gates.size(); i++) + { + Gate* master_gate = gates.at(i); - for (const auto& input_var : input_variable_names) - { - var_str += (input_var + ", "); - io_str += ("input " + input_var + ";\n"); - } + if (visited.find(master_gate) != visited.cend()) + { + continue; + } - for (const auto& [output_var, bf] : bfs) - { - var_str += (output_var + ", "); - io_str += ("output " + output_var + ";\n"); - function_str += ("assign " + output_var + " = " + bf.to_string() + ";\n"); - } + std::vector current_duplicates = {master_gate}; - var_str = var_str.substr(0, var_str.size() - 2); + for (size_t j = i + 1; j < gates.size(); j++) + { + Gate* current_gate = gates.at(j); + bool equal = true; + for (const auto* pin : fingerprint.type->get_output_pins()) + { + const auto solver_res = + master_gate->get_resolved_boolean_function(pin) + .map([pin, current_gate](BooleanFunction&& bf_master) { + return current_gate->get_resolved_boolean_function(pin).map([bf_master = std::move(bf_master)](BooleanFunction&& bf_current) mutable { + return BooleanFunction::Eq(std::move(bf_master), std::move(bf_current), 1); + }); + }) + .map([](auto&& bf_eq) -> Result { return BooleanFunction::Not(std::move(bf_eq), 1); }) + .map([&config](auto&& bf_not) -> Result { return SMT::Solver({SMT::Constraint(std::move(bf_not))}).query(config); }); + + if (solver_res.is_error() || !solver_res.get().is_unsat()) + { + equal = false; + } + } - verilog_str += var_str; - verilog_str += ");\n"; + if (equal) + { + current_duplicates.push_back(current_gate); + visited.insert(current_gate); + } + } - verilog_str += io_str; + if (current_duplicates.size() > 1) + { + duplicate_gates.push_back(current_duplicates); + } + } + } + else if (fingerprint.type->has_property(GateTypeProperty::ff)) + { + duplicate_gates.push_back(std::move(gates)); + } + } - verilog_str += "\n"; + std::set affected_gates; + for (auto& current_duplicates : duplicate_gates) + { + std::sort(current_duplicates.begin(), current_duplicates.end(), [](const auto& g1, const auto& g2) { return g1->get_name().length() < g2->get_name().length(); }); - verilog_str += function_str; + auto* survivor_gate = current_duplicates.front(); + std::map out_pins_to_nets; + for (auto* ep : survivor_gate->get_fan_out_endpoints()) + { + Net* out_net = ep->get_net(); + out_pins_to_nets[ep->get_pin()] = out_net; + for (const auto* dst : out_net->get_destinations()) + { + auto* dst_gate = dst->get_gate(); + auto* dst_type = dst_gate->get_type(); + if (dst_type->has_property(GateTypeProperty::combinational) || dst_type->has_property(GateTypeProperty::ff)) + { + affected_gates.insert(dst_gate); + } + } + } + + for (u32 k = 1; k < current_duplicates.size(); k++) + { + auto* current_gate = current_duplicates.at(k); + for (auto* ep : current_gate->get_fan_out_endpoints()) + { + auto* ep_net = ep->get_net(); + auto* ep_pin = ep->get_pin(); + + if (auto it = out_pins_to_nets.find(ep_pin); it != out_pins_to_nets.cend()) + { + // survivor already has net connected to this output -> add destination to survivor's net + for (auto* dst : ep_net->get_destinations()) + { + auto* dst_gate = dst->get_gate(); + auto* dst_pin = dst->get_pin(); + dst->get_net()->remove_destination(dst); + it->second->add_destination(dst_gate, dst_pin); + + auto* dst_type = dst_gate->get_type(); + if (dst_type->has_property(GateTypeProperty::combinational) || dst_type->has_property(GateTypeProperty::ff)) + { + affected_gates.insert(dst_gate); + } + } + if (!nl->delete_net(ep_net)) + { + log_warning("netlist_preprocessing", "could not delete net '{}' with ID {} from netlist with ID {}.", ep_net->get_name(), ep_net->get_id(), nl->get_id()); + } + } + else + { + // survivor does not feature net on this output pin -> connect this net to survivor + ep_net->add_source(survivor_gate, ep_pin); + out_pins_to_nets[ep_pin] = ep_net; + for (auto* dst : ep_net->get_destinations()) + { + auto* dst_gate = dst->get_gate(); + auto* dst_type = dst_gate->get_type(); + if (dst_type->has_property(GateTypeProperty::combinational) || dst_type->has_property(GateTypeProperty::ff)) + { + affected_gates.insert(dst_gate); + } + } + } + } + + annotate_ff_survivor(ff_replacements, survivor_gate, current_gate); + + affected_gates.erase(current_gate); + if (!nl->delete_gate(current_gate)) + { + log_warning("netlist_preprocessing", "could not delete gate '{}' with ID {} from netlist with ID {}.", current_gate->get_name(), current_gate->get_id(), nl->get_id()); + } + else + { + progress = true; + num_gates++; + } + } + } + target_gates = std::vector(affected_gates.cbegin(), affected_gates.cend()); + } while (progress); + + update_ff_replacements(ff_replacements); + + log_info("netlist_preprocessing", "removed {} redundant gates from netlist with ID {}.", num_gates, nl->get_id()); + return OK(num_gates); + } + + Result NetlistPreprocessingPlugin::remove_redundant_loops(Netlist* nl) + { + struct LoopFingerprint + { + std::map types; + std::set external_variable_names; + std::set ff_control_nets; + + bool operator<(const LoopFingerprint& other) const + { + return (other.types < types) || (other.types == types && other.external_variable_names < external_variable_names) + || (other.types == types && other.external_variable_names == external_variable_names && other.ff_control_nets < ff_control_nets); + } + }; + + auto config = hal::SMT::QueryConfig(); + +#ifdef BITWUZLA_LIBRARY + auto s_type = hal::SMT::SolverType::Bitwuzla; + auto s_call = hal::SMT::SolverCall::Library; + config = config.with_solver(s_type).with_call(s_call); +#endif + + u32 num_gates = 0; + + auto ff_replacements = restore_ff_replacements(nl); + + static const std::set ff_control_pin_types = {PinType::clock, PinType::enable, PinType::reset, PinType::set}; + + // detect combinational loops that begin and end at the same FF + // for some FFs, multiple combinational lops may exist; such loops wil be merged into a single one + std::unordered_map> loops_by_start_gate; + for (auto* start_ff : nl->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::ff); })) + { + std::vector stack = {start_ff}; + std::vector previous_gates; + std::unordered_set visited_gates; + std::unordered_set cache; + + while (!stack.empty()) + { + auto* current_gate = stack.back(); + + if (!previous_gates.empty() && current_gate == previous_gates.back()) + { + stack.pop_back(); + previous_gates.pop_back(); + continue; + } + + visited_gates.insert(current_gate); - verilog_str += "\n"; - verilog_str += "endmodule\n"; - verilog_str += "\n"; + bool added = false; + for (const auto* suc_ep : current_gate->get_successors()) + { + if (ff_control_pin_types.find(suc_ep->get_pin()->get_type()) != ff_control_pin_types.end()) + { + continue; + } - return verilog_str; + auto* suc_gate = suc_ep->get_gate(); + if (suc_gate == start_ff || cache.find(suc_gate) != cache.end()) + { + loops_by_start_gate[start_ff].insert(current_gate); + cache.insert(current_gate); + for (auto it = ++(previous_gates.begin()); it != previous_gates.end(); it++) + { + cache.insert(*it); + loops_by_start_gate[start_ff].insert(*it); + } + } + else if (suc_gate->get_type()->has_property(GateTypeProperty::combinational)) + { + if (visited_gates.find(suc_gate) == visited_gates.end()) + { + stack.push_back(suc_gate); + added = true; + } + } + } + + if (added) + { + previous_gates.push_back(current_gate); + } + else + { + stack.pop_back(); + } + } } - void resynthesize_boolean_functions_with_abc(const std::unordered_map& bfs, GateLibrary* gl, const bool optimize_area) + std::map, BooleanFunction>>> fingerprinted_loops; + for (const auto& [start_ff, comb_gates] : loops_by_start_gate) { - const auto verilog_module = build_combinational_verilog_module_from(bfs); + LoopFingerprint fingerprint; - const std::filesystem::path base_path = std::filesystem::temp_directory_path() / "resynthesize_boolean_functions_with_abc"; - const std::filesystem::path functional_netlist_path = base_path / "func_netlist.v"; - const std::filesystem::path resynthesized_netlist_path = base_path / "resynth_netlist.v"; - const std::filesystem::path gate_library_path = base_path / "new_gate_library.genlib"; + // do not consider loop of more than 30 gates + if (comb_gates.size() > 30) + { + continue; + } - log_info("netlist_preprocessing", "Writing Verilog file to {} ...", functional_netlist_path.string()); + // collect FF control and data nets + std::vector data_in; + for (const auto* ep : start_ff->get_fan_in_endpoints()) + { + auto pin_type = ep->get_pin()->get_type(); + if (ff_control_pin_types.find(pin_type) != ff_control_pin_types.end()) + { + fingerprint.ff_control_nets.insert(ep->get_net()); + } + else if (pin_type == PinType::data) + { + data_in.push_back(ep); + } + } - std::ofstream out(functional_netlist_path); - out << verilog_module; - out.close(); + if (data_in.size() != 1) + { + continue; + } - log_info("netlist_preprocessing", "Writing gatelibrary to file {} ...", gate_library_path.string()); + // collect gate types + fingerprint.types[start_ff->get_type()] = 1; + for (const auto* g : comb_gates) + { + const auto* gt = g->get_type(); + if (const auto type_it = fingerprint.types.find(gt); type_it == fingerprint.types.end()) + { + fingerprint.types[gt] = 0; + } + fingerprint.types[gt]++; + } - gate_library_manager::save(gate_library_path, gl); + std::vector comb_gates_vec(comb_gates.cbegin(), comb_gates.cend()); + if (auto function_res = SubgraphNetlistDecorator(*nl).get_subgraph_function(comb_gates_vec, data_in.front()->get_net()); function_res.is_ok()) + { + // get Boolean function variable names + BooleanFunction function = function_res.get(); + fingerprint.external_variable_names = function.get_variable_names(); - - // const std::string command_corpus = R"#( - // read_verilog {}; - // read_library {}; - // cleanup; - // sweep; - // strash; - // dc2; - // logic; - // map -a; - // write_verilog {}; - // )#"; - // const std::string command = std::format(command_corpus, functional_netlist_path, gate_library_path, resythesized_netlist_path); - + // replace FF output net identifier from function variables (otherwise varies depending on FF, preventing later SMT check) + for (const auto* ep : start_ff->get_fan_out_endpoints()) + { + if (const auto it = fingerprint.external_variable_names.find(BooleanFunctionNetDecorator(*(ep->get_net())).get_boolean_variable_name()); + it != fingerprint.external_variable_names.end()) + { + function = function.substitute(*it, ep->get_pin()->get_name()); + fingerprint.external_variable_names.erase(it); + } + } - const std::string command = "read_verilog " + functional_netlist_path.string() + "; read_library " + gate_library_path.string() + "; cleanup; sweep; strash; dc2; logic; map" - + (optimize_area ? "" : " -a") + "; write_verilog " + resynthesized_netlist_path.string() + ";"; + std::vector loop_gates = {start_ff}; + loop_gates.insert(loop_gates.end(), comb_gates.begin(), comb_gates.end()); + fingerprinted_loops[fingerprint].push_back(std::make_pair(loop_gates, std::move(function))); + } + } - return; + std::vector>> duplicate_loops; + for (const auto& [_, loops] : fingerprinted_loops) + { + if (loops.size() == 1) + { + continue; + } + + std::set visited; + for (u32 i = 0; i < loops.size(); i++) + { + if (visited.find(i) != visited.cend()) + { + continue; + } + + const auto& master_loop = loops.at(i); + + std::vector> current_duplicates = {std::get<0>(master_loop)}; + + for (size_t j = i + 1; j < loops.size(); j++) + { + const auto& current_loop = loops.at(j); + const auto solver_res = + BooleanFunction::Eq(std::get<1>(master_loop).clone(), std::get<1>(current_loop).clone(), 1) + .map([](auto&& bf_eq) -> Result { return BooleanFunction::Not(std::move(bf_eq), 1); }) + .map([&config](auto&& bf_not) -> Result { return SMT::Solver({SMT::Constraint(std::move(bf_not))}).query(config); }); + + if (solver_res.is_ok() && solver_res.get().is_unsat()) + { + current_duplicates.push_back(std::get<0>(current_loop)); + visited.insert(j); + } + } + + if (current_duplicates.size() > 1) + { + duplicate_loops.push_back(std::move(current_duplicates)); + } + } } - void resynthesize_boolean_function_with_abc(const BooleanFunction& bf, const bool optimize_area) + for (const auto& current_duplicates : duplicate_loops) { - return; + // TODO the "replace" logic where the output of the survivor ff is connected to new sources and the old gates are deleted is a duplicate of the above functionality + const auto& survivor_loop = current_duplicates.front(); + auto* survivor_ff = survivor_loop.front(); + + std::map out_pins_to_nets; + for (auto* ep : survivor_ff->get_fan_out_endpoints()) + { + Net* out_net = ep->get_net(); + out_pins_to_nets[ep->get_pin()] = out_net; + } + + for (u32 i = 1; i < current_duplicates.size(); i++) + { + auto* current_ff = current_duplicates.at(i).front(); + for (auto* ep : current_ff->get_fan_out_endpoints()) + { + auto* ep_net = ep->get_net(); + auto* ep_pin = ep->get_pin(); + + if (auto it = out_pins_to_nets.find(ep_pin); it != out_pins_to_nets.cend()) + { + // survivor already has net connected to this output -> add destination to survivor's net + for (auto* dst : ep_net->get_destinations()) + { + auto* dst_gate = dst->get_gate(); + auto* dst_pin = dst->get_pin(); + dst->get_net()->remove_destination(dst); + it->second->add_destination(dst_gate, dst_pin); + } + if (!nl->delete_net(ep_net)) + { + log_warning("netlist_preprocessing", "could not delete net '{}' with ID {} from netlist with ID {}.", ep_net->get_name(), ep_net->get_id(), nl->get_id()); + } + } + else + { + // survivor does not feature net on this output pin -> connect this net to survivor + ep_net->add_source(survivor_ff, ep_pin); + out_pins_to_nets[ep_pin] = ep_net; + } + } + + annotate_ff_survivor(ff_replacements, survivor_ff, current_ff); + + if (!nl->delete_gate(current_ff)) + { + log_warning("netlist_preprocessing", "could not delete gate '{}' with ID {} from netlist with ID {}.", current_ff->get_name(), current_ff->get_id(), nl->get_id()); + } + else + { + num_gates++; + } + } } - void resynthesize_subgraph_with_abc(const Netlist* nl, const std::vector subgraph, const bool optimize_area) + update_ff_replacements(ff_replacements); + + log_info("netlist_preprocessing", "removed {} redundant loops from netlist with ID {}.", num_gates, nl->get_id()); + return OK(num_gates); + } + + Result NetlistPreprocessingPlugin::remove_redundant_logic_trees(Netlist* nl) + { + struct TreeFingerprint { - return; + std::set external_inputs; + // std::set external_inputs; + + bool operator<(const TreeFingerprint& other) const + { + return (other.external_inputs < external_inputs); + } + }; + + const std::vector all_comb_gates_vec = nl->get_gates([](const auto& g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + // const std::unordered_set all_comb_gates_set = {all_comb_gates_vec.begin(), all_comb_gates_vec.end()}; + + std::map> fingerprint_to_nets; + for (const auto& g : all_comb_gates_vec) + { + for (const auto& out_ep : g->get_fan_out_endpoints()) + { + // const auto non_comb_destinations = out_ep->get_net()->get_destinations([](const auto& in_ep){ return !in_ep->get_gate()->get_type()->has_property(GateTypeProperty::combinational);}); + // if (!non_comb_destinations.empty()) + { + const auto& out_net = out_ep->get_net(); + auto inputs_res = SubgraphNetlistDecorator(*nl).get_subgraph_function_inputs(all_comb_gates_vec, out_net); + if (inputs_res.is_error()) + { + return ERR_APPEND(inputs_res.get_error(), + "Unable to remove redundant logic trees: failed to gather inputs for net " + out_net->get_name() + " with ID " + std::to_string(out_net->get_id())); + } + TreeFingerprint tf; + tf.external_inputs = inputs_res.get(); + // tf.external_inputs = SubgraphNetlistDecorator(*nl).get_subgraph_function(all_comb_gates_vec, out_net).get().simplify().get_variable_names(); + + fingerprint_to_nets[tf].insert(out_net); + } + } + } + + std::vector> equality_classes; + + for (const auto& [_fingerprint, nets] : fingerprint_to_nets) + { + // TODO remove + // std::cout << "Fingerprint(" << _fingerprint.external_inputs.size() << "): " << std::endl; + // for (const auto& n : _fingerprint.external_inputs) + // { + // std::cout << "\t" << n << std::endl; + // } + // std::cout << "Checking nets: " << std::endl; + // for (const auto& n : nets) + // { + // std::cout << "\t" << n->get_name() << std::endl; + // } + + std::vector current_candidate_nets = {nets.begin(), nets.end()}; + std::vector next_candidate_nets; + + while (!current_candidate_nets.empty()) + { + const auto n = current_candidate_nets.back(); + current_candidate_nets.pop_back(); + + std::vector new_equality_class = {n}; + + for (const auto& m : current_candidate_nets) + { + auto comp_res = z3_utils::compare_nets(nl, nl, n, m); + if (comp_res.is_error()) + { + return ERR_APPEND(comp_res.get_error(), + "Unable to remove redundant logic trees: failed to compare net " + n->get_name() + " with ID " + std::to_string(n->get_id()) + " with net " + m->get_name() + + " with ID " + std::to_string(m->get_id())); + } + const auto are_equal = comp_res.get(); + + if (are_equal) + { + new_equality_class.push_back(m); + } + else + { + next_candidate_nets.push_back(m); + } + } + + equality_classes.push_back(new_equality_class); + current_candidate_nets = next_candidate_nets; + next_candidate_nets.clear(); + } + } + + u32 counter = 0; + for (const auto& eq_class : equality_classes) + { + // TODO remove + // std::cout << "Equal nets: " << std::endl; + // for (const auto& n : eq_class) + // { + // std::cout << n->get_name() << std::endl; + // } + + auto survivor_net = eq_class.front(); + + for (u32 i = 1; i < eq_class.size(); i++) + { + auto victim_net = eq_class.at(i); + for (const auto& dst : victim_net->get_destinations()) + { + auto dst_gate = dst->get_gate(); + auto dst_pin = dst->get_pin(); + + if (!victim_net->remove_destination(dst)) + { + return ERR("Unable to remove redundant logic trees: failed to remove destination of net " + victim_net->get_name() + " with ID " + std::to_string(victim_net->get_id()) + + " at gate " + dst_gate->get_name() + " with ID " + std::to_string(dst_gate->get_id()) + " and pin " + dst_pin->get_name()); + } + if (!survivor_net->add_destination(dst_gate, dst_pin)) + { + return ERR("Unable to remove redundant logic trees: failed to add destination to net " + survivor_net->get_name() + " with ID " + std::to_string(survivor_net->get_id()) + + " at gate " + dst_gate->get_name() + " with ID " + std::to_string(dst_gate->get_id()) + " and pin " + dst_pin->get_name()); + } + + counter += 1; + } + } + } + + auto clean_up_res = remove_unconnected_looped(nl); + if (clean_up_res.is_error()) + { + return ERR_APPEND(clean_up_res.get_error(), "Unable to remove redundant logic trees: failed to clean up dangling trees"); + } + + return OK(clean_up_res.get() + counter); + } + + Result NetlistPreprocessingPlugin::propagate_constants(Netlist* nl) + { + if (nl == nullptr) + { + return ERR("netlist is a nullptr"); } - */ - } // namespace + + Net* gnd_net = nl->get_gnd_gates().empty() ? nullptr : nl->get_gnd_gates().front()->get_fan_out_nets().front(); + Net* vcc_net = nl->get_vcc_gates().empty() ? nullptr : nl->get_vcc_gates().front()->get_fan_out_nets().front(); + + u32 total_replaced_dst_count = 0; + + while (true) + { + u32 replaced_dst_count = 0; + std::vector to_delete; + for (const auto g : nl->get_gates([](const auto g) { + return g->get_type()->has_property(GateTypeProperty::combinational) && !g->get_type()->has_property(GateTypeProperty::ground) + && !g->get_type()->has_property(GateTypeProperty::power); + })) + { + bool has_global_output = false; + for (const auto ep : g->get_fan_out_endpoints()) + { + if (ep->get_net()->is_global_output_net()) + { + has_global_output = true; + } + + auto bf_res = g->get_resolved_boolean_function(ep->get_pin(), false); + if (bf_res.is_error()) + { + return ERR_APPEND(bf_res.get_error(), + "unable to propagate constants: failed to generate boolean function at gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + " for pin " + + ep->get_pin()->get_name()); + } + auto bf = bf_res.get(); + auto sub_res = BooleanFunctionDecorator(bf).substitute_power_ground_nets(nl); + if (sub_res.is_error()) + { + return ERR_APPEND(bf_res.get_error(), + "unable to propagate constants: failed to substitue power and ground nets in boolean function of gate " + g->get_name() + " with ID " + + std::to_string(g->get_id()) + " for pin " + ep->get_pin()->get_name()); + } + bf = sub_res.get(); + bf = bf.simplify_local(); + + // if boolean function of output pin can be simplified to a constant connect all its successors to gnd/vcc instead + if (bf.is_constant()) + { + Net* new_source; + if (bf.has_constant_value(0)) + { + new_source = gnd_net; + } + else if (bf.has_constant_value(1)) + { + new_source = vcc_net; + } + else + { + continue; + } + + if (new_source == nullptr) + { + // log_error("netlist_preprocessing", "failed to replace bf {} with constant net because netlist is missing GND gate or VCC gate"); + return ERR("unable to propagate constants: netlist is missing gnd or vcc net!"); + } + + std::vector> to_replace; + for (auto dst : ep->get_net()->get_destinations()) + { + to_replace.push_back({dst->get_gate(), dst->get_pin()}); + } + + for (const auto& [dst_g, dst_p] : to_replace) + { + ep->get_net()->remove_destination(dst_g, dst_p); + new_source->add_destination(dst_g, dst_p); + + replaced_dst_count++; + } + + nl->delete_net(ep->get_net()); + } + } + + if (!has_global_output && g->get_successors().empty()) + { + to_delete.push_back(g); + } + } + + for (auto g : to_delete) + { + nl->delete_gate(g); + } + + if (replaced_dst_count == 0) + { + break; + } + + log_debug("netlist_preprocessing", "replaced {} destinations this with power/ground nets this iteration", replaced_dst_count); + total_replaced_dst_count += replaced_dst_count; + } + + log_info("netlist_preprocessing", "replaced {} destinations with power/ground nets in total", total_replaced_dst_count); + return OK(total_replaced_dst_count); + } + + Result NetlistPreprocessingPlugin::remove_consecutive_inverters(Netlist* nl) + { + if (nl == nullptr) + { + return ERR("netlist is a nullptr"); + } + + std::set gates_to_delete; + for (auto* inv_gate : nl->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::c_inverter); })) + { + if (gates_to_delete.find(inv_gate) != gates_to_delete.end()) + { + continue; + } + + const auto& connection_endpoints = inv_gate->get_fan_in_endpoints(); + if (connection_endpoints.size() != 1) + { + log_warning("netlist_preprocessing", "could not handle gate '{}' with ID {} due to a fan-in size != 1", inv_gate->get_name(), inv_gate->get_id()); + continue; + } + + auto* middle_fan_in_ep = connection_endpoints.front(); + auto* middle_net = middle_fan_in_ep->get_net(); + if (middle_net->get_sources().size() != 1) + { + log_warning("netlist_preprocessing", "could not handle gate '{}' with ID {} due to a number of predecessors != 1", inv_gate->get_name(), inv_gate->get_id()); + continue; + } + auto* pred_gate = middle_net->get_sources().front()->get_gate(); + + if (pred_gate->get_type()->has_property(GateTypeProperty::c_inverter)) + { + const auto& fan_in = pred_gate->get_fan_in_endpoints(); + if (fan_in.size() != 1) + { + log_warning("netlist_preprocessing", "could not handle gate '{}' with ID {} due to a fan-in size != 1", pred_gate->get_name(), pred_gate->get_id()); + continue; + } + if (pred_gate->get_fan_out_endpoints().size() != 1) + { + log_warning("netlist_preprocessing", "could not handle gate '{}' with ID {} due to a fan-out size != 1", pred_gate->get_name(), pred_gate->get_id()); + continue; + } + auto* in_net = fan_in.front()->get_net(); + + const auto& fan_out = inv_gate->get_fan_out_endpoints(); + if (fan_out.size() != 1) + { + log_warning("netlist_preprocessing", "could not handle gate '{}' with ID {} due to a fan-out size != 1", inv_gate->get_name(), inv_gate->get_id()); + continue; + } + auto* out_net = fan_out.front()->get_net(); + + for (auto* dst_ep : out_net->get_destinations()) + { + auto* dst_pin = dst_ep->get_pin(); + auto* dst_gate = dst_ep->get_gate(); + + out_net->remove_destination(dst_ep); + in_net->add_destination(dst_gate, dst_pin); + } + + middle_net->remove_destination(middle_fan_in_ep); + + if (middle_net->get_num_of_destinations() == 0) + { + nl->delete_net(middle_net); + gates_to_delete.insert(pred_gate); + } + + gates_to_delete.insert(inv_gate); + } + } + + u32 removed_ctr = 0; + for (auto* g : gates_to_delete) + { + nl->delete_gate(g); + removed_ctr++; + } + + return OK(removed_ctr); + } namespace { @@ -1275,8 +1638,62 @@ namespace hal return OK(counter); } - namespace { - struct ComponentData { + Result NetlistPreprocessingPlugin::reconstruct_top_module_pin_groups(Netlist* nl) + { + std::map>> pg_name_to_indexed_pins; + + for (const auto& pin : nl->get_top_module()->get_pins()) + { + auto reconstruct = extract_index(pin->get_name(), net_index_pattern, ""); + if (!reconstruct.has_value()) + { + continue; + } + + auto [pg_name, index, _] = reconstruct.value(); + + pg_name_to_indexed_pins[pg_name][index].push_back(pin); + } + + u32 reconstructed_counter = 0; + for (const auto& [pg_name, indexed_pins] : pg_name_to_indexed_pins) + { + std::vector ordered_pins; + + bool valid_indices = true; + // NOTE: since the map already orders the indices from low to high, if we iterate over it we also get the pins in the right order + for (const auto& [_index, pins] : indexed_pins) + { + if (pins.size() > 1) + { + valid_indices = false; + break; + } + + ordered_pins.push_back(pins.front()); + } + + if (!valid_indices) + { + continue; + } + + auto res = nl->get_top_module()->create_pin_group(pg_name, ordered_pins); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "cannot reconstruct top module pin groups: failed to create pin group " + pg_name); + } + + reconstructed_counter++; + } + + return OK(reconstructed_counter); + } + + namespace + { + struct ComponentData + { std::string name; std::string type; u64 x; @@ -1290,7 +1707,7 @@ namespace hal u32 line_number = 0; std::string line; - bool escaped = false; + bool escaped = false; std::vector> parsed_tokens; while (std::getline(ss, line)) @@ -1339,7 +1756,7 @@ namespace hal return TokenStream(parsed_tokens, {}, {}); } - + Result> parse_tokens(TokenStream& ts) { ts.consume_until("COMPONENTS"); @@ -1349,7 +1766,7 @@ namespace hal u32 component_count; if (const auto res = utils::wrapped_stoul(component_count_str); res.is_ok()) - { + { component_count = res.get(); } else @@ -1375,7 +1792,7 @@ namespace hal ts.consume("PLACED"); ts.consume("FIXED"); ts.consume("("); - + if (const auto res = utils::wrapped_stoull(ts.consume().string); res.is_ok()) { new_data_entry.x = res.get(); @@ -1403,7 +1820,7 @@ namespace hal return OK(component_data); } - } + } // namespace Result NetlistPreprocessingPlugin::parse_def_file(Netlist* nl, const std::filesystem::path& def_file) { @@ -1468,4 +1885,193 @@ namespace hal return OK({}); } -} // namespace hal + Result> NetlistPreprocessingPlugin::create_multi_bit_gate_modules(Netlist* nl, + const std::map>>& concatenated_pin_groups) + { + std::vector all_modules; + for (const auto& [gt_name, pin_groups] : concatenated_pin_groups) + { + const auto& gt = nl->get_gate_library()->get_gate_type_by_name(gt_name); + if (gt == nullptr) + { + return ERR("unable to create multi bit gate module for gate type " + gt_name + ": failed to find gate type with that name in gate library " + nl->get_gate_library()->get_name()); + } + + for (const auto& g : nl->get_gates([>](const auto& g) { return g->get_type() == gt; })) + { + auto m = nl->create_module("module_" + g->get_name(), g->get_module(), {g}); + + for (const auto& [module_pg_name, gate_pg_names] : pin_groups) + { + std::vector module_pins; + for (const auto& gate_pg_name : gate_pg_names) + { + const auto& gate_pg = g->get_type()->get_pin_group_by_name(gate_pg_name); + + if (gate_pg == nullptr) + { + return ERR("unable to create multi-bit gate module for gate type " + gt_name + " and pin group " + gate_pg_name + ": failed to find pin group with that name"); + } + + std::vector pin_list = gate_pg->get_pins(); + if (!gate_pg->is_ascending()) + { + std::reverse(pin_list.begin(), pin_list.end()); + } + + for (const auto& gate_pin : pin_list) + { + const auto net = (gate_pin->get_direction() == PinDirection::output) ? g->get_fan_out_net(gate_pin) : g->get_fan_in_net(gate_pin); + if (net == nullptr) + { + continue; + } + + if (net->is_gnd_net() || net->is_vcc_net()) + { + continue; + } + + const auto module_pin = m->get_pin_by_net(net); + + module_pins.push_back(module_pin); + } + } + + m->create_pin_group(module_pg_name, module_pins); + u32 idx_counter = 0; + for (const auto& mp : module_pins) + { + m->set_pin_name(mp, module_pg_name + "_" + std::to_string(idx_counter)); + idx_counter++; + } + } + + all_modules.push_back(m); + } + } + + return OK(all_modules); + } + + Result> NetlistPreprocessingPlugin::create_nets_at_unconnected_pins(Netlist* nl) + { + std::vector created_nets; + + for (const auto& g : nl->get_gates()) + { + for (const auto& p : g->get_type()->get_output_pins()) + { + if (g->get_fan_out_net(p) == nullptr) + { + auto new_net = nl->create_net("TEMP"); + new_net->set_name("HAL_UNCONNECTED_" + std::to_string(new_net->get_id())); + new_net->add_source(g, p); + + created_nets.push_back(new_net); + } + } + } + + return OK(created_nets); + } + + Result NetlistPreprocessingPlugin::unify_ff_outputs(Netlist* nl, const std::vector& ffs, GateType* inverter_type) + { + if (nl == nullptr) + { + return ERR("netlist is a nullptr"); + } + + if (inverter_type == nullptr) + { + const auto* gl = nl->get_gate_library(); + const auto inv_types = + gl->get_gate_types([](const GateType* gt) { return gt->has_property(GateTypeProperty::c_inverter) && gt->get_input_pins().size() == 1 && gt->get_output_pins().size() == 1; }); + if (inv_types.empty()) + { + return ERR("gate library '" + gl->get_name() + "' of netlist does not contain an inverter gate"); + } + inverter_type = inv_types.begin()->second; + } + else + { + if (inverter_type->get_gate_library() != nl->get_gate_library()) + { + return ERR("inverter gate type '" + inverter_type->get_name() + "' of gate library '" + inverter_type->get_gate_library()->get_name() + "' does not belong to gate library '" + + nl->get_gate_library()->get_name() + "' of provided netlist"); + } + + if (!inverter_type->has_property(GateTypeProperty::c_inverter)) + { + return ERR("gate type '" + inverter_type->get_name() + "' of gate library '" + inverter_type->get_gate_library()->get_name() + "' is not an inverter gate type"); + } + + if (inverter_type->get_input_pins().size() != 1 || inverter_type->get_output_pins().size() != 1) + { + return ERR("inverter gate type '" + inverter_type->get_name() + "' of gate library '" + inverter_type->get_gate_library()->get_name() + + "' has an invalid number of input pins or output pins"); + } + } + + auto inv_in_pin = inverter_type->get_input_pins().front(); + auto inv_out_pin = inverter_type->get_output_pins().front(); + + u32 ctr = 0; + + const std::vector& gates = ffs.empty() ? nl->get_gates() : ffs; + + for (auto* ff : gates) + { + auto* ff_type = ff->get_type(); + + if (!ff_type->has_property(GateTypeProperty::ff)) + { + continue; + } + + GatePin* state_pin = nullptr; + GatePin* neg_state_pin = nullptr; + + for (auto* o_pin : ff_type->get_output_pins()) + { + if (o_pin->get_type() == PinType::state) + { + state_pin = o_pin; + } + else if (o_pin->get_type() == PinType::neg_state) + { + neg_state_pin = o_pin; + } + } + + if (neg_state_pin == nullptr) + { + continue; + } + + auto* neg_state_ep = ff->get_fan_out_endpoint(neg_state_pin); + if (neg_state_ep == nullptr) + { + continue; + } + auto* neg_state_net = neg_state_ep->get_net(); + + auto state_net = ff->get_fan_out_net(state_pin); + if (state_net == nullptr) + { + state_net = nl->create_net(ff->get_name() + "__STATE_NET__"); + state_net->add_source(ff, state_pin); + } + + auto* inv = nl->create_gate(inverter_type, ff->get_name() + "__NEG_STATE_INVERT__"); + state_net->add_destination(inv, inv_in_pin); + neg_state_net->remove_source(neg_state_ep); + neg_state_net->add_source(inv, inv_out_pin); + ctr++; + } + + return OK(ctr); + } + +} // namespace hal \ No newline at end of file diff --git a/plugins/netlist_preprocessing/src/resynthesis.cpp b/plugins/netlist_preprocessing/src/resynthesis.cpp new file mode 100644 index 00000000000..2ce8c4e1842 --- /dev/null +++ b/plugins/netlist_preprocessing/src/resynthesis.cpp @@ -0,0 +1,1667 @@ +#include "hal_core/netlist/boolean_function/solver.h" +#include "hal_core/netlist/decorators/boolean_function_decorator.h" +#include "hal_core/netlist/decorators/boolean_function_net_decorator.h" +#include "hal_core/netlist/decorators/netlist_modification_decorator.h" +#include "hal_core/netlist/decorators/subgraph_netlist_decorator.h" +#include "hal_core/netlist/endpoint.h" +#include "hal_core/netlist/gate.h" +#include "hal_core/netlist/gate_library/gate_library_manager.h" +#include "hal_core/netlist/module.h" +#include "hal_core/netlist/net.h" +#include "hal_core/netlist/netlist_factory.h" +#include "hal_core/netlist/netlist_utils.h" +#include "hal_core/netlist/netlist_writer/netlist_writer_manager.h" +#include "hal_core/netlist/pins/module_pin.h" +#include "hal_core/utilities/result.h" +#include "hal_core/utilities/token_stream.h" +#include "netlist_preprocessing/helper_lib.h" +#include "netlist_preprocessing/plugin_netlist_preprocessing.h" +#include "netlist_preprocessing/utils/json.hpp" +#include "rapidjson/document.h" + +#include +#include +#include + +namespace hal +{ + namespace abc + { + Result query_binary_path() + { + static const std::vector abc_binary_paths = { + "/usr/bin/berkeley-abc", "/usr/local/bin/berkeley-abc", "/opt/homebrew/bin/berkeley-abc", "/usr/bin/abc", "/usr/local/bin/abc", "/opt/homebrew/bin/abc", "/opt/abc/abc"}; + + for (const auto& path : abc_binary_paths) + { + if (std::filesystem::exists(path)) + { + return OK(path); + } + } + + return ERR("could not query binary path: no binary found for ABC logic synthesis tool"); + } + } // namespace abc + + namespace yosys + { + Result query_binary_path() + { + static const std::vector yosys_binary_paths = {"/usr/bin/yosys", "/usr/local/bin/yosys", "/opt/homebrew/bin/yosys", "/opt/yosys/yosys"}; + + for (const auto& path : yosys_binary_paths) + { + if (std::filesystem::exists(path)) + { + return OK(path); + } + } + + return ERR("could not query binary path: no binary found for yosys logic synthesis tool"); + } + } // namespace yosys + + namespace + { + std::string new_net_name(const Net* dst_net, const Net* new_net) + { + return new_net->get_name() + "_" + std::to_string(dst_net->get_id()) + "_NEW_NET"; + } + + std::string new_gate_name(const Gate* dst_gate, const Gate* new_gate) + { + return new_gate->get_name() + "_" + std::to_string(dst_gate->get_id()) + "_NEW_GATE"; + } + + Result delete_subgraph(Netlist* nl, const std::vector subgraph) + { + // TODO currently only gates are deleted, not nets... + for (const auto& g : subgraph) + { + if (!nl->delete_gate(g)) + { + return ERR("unable to delete subgraph: failed to delete gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + " in destination netlist"); + } + } + + return OK({}); + } + + // NOTE there are about a hundred more checks that we could do here + Result replace_subgraph_with_netlist(const std::vector& subgraph, + const std::unordered_map>& global_io_mapping, + const Netlist* src_nl, + Netlist* dst_nl, + const bool delete_subgraph_gates) + { + std::unordered_map gate_name_to_gate; + + const auto dst_gl = dst_nl->get_gate_library(); + + // add all gates of the source netlist to the destination netlist + for (const auto src_g : src_nl->get_gates()) + { + const auto src_gt = src_g->get_type(); + const auto dst_gt = dst_gl->get_gate_type_by_name(src_gt->get_name()); + if (!dst_gt) + { + return ERR("unable to replace subgraph with netlist: destination gate library " + dst_gl->get_name() + " does not contain the required gate type " + src_gt->get_name()); + } + + auto new_gate = dst_nl->create_gate(dst_gt, "TEMP"); + const std::string new_name = new_gate_name(new_gate, src_g); + new_gate->set_name(new_name); + + gate_name_to_gate.insert({src_g->get_name(), new_gate}); + } + + // connect all nets of the source netlist to the destination netlist + for (const auto src_n : src_nl->get_nets()) + { + Net* new_net = nullptr; + + // edge case for global inputs + if (src_n->is_global_input_net()) + { + if (const auto it = global_io_mapping.find(src_n); it != global_io_mapping.end()) + { + const auto& net_connections = global_io_mapping.at(src_n); + if (net_connections.size() != 1) + { + return ERR("unable to replace subgraph with netlist: found " + std::to_string(net_connections.size()) + " net connections to the global input " + src_n->get_name() + + ", this would lead to mutlti driven nets!"); + } + new_net = net_connections.front(); + } + else + { + return ERR("unable to replace subgraph with netlist: failed to locate mapped net in destination netlist for global io net " + src_n->get_name() + " with ID " + + std::to_string(src_n->get_id())); + } + } + else if (src_n->is_global_output_net()) + { + if (const auto it = global_io_mapping.find(src_n); it != global_io_mapping.end()) + { + const auto& net_connections = global_io_mapping.at(src_n); + new_net = net_connections.front(); + + if (net_connections.size() != 1) + { + log_warning( + "resynthesis", "Found multiple io connections for net {} with ID {}, this might lead to missing nets in the destination netlist", src_n->get_name(), src_n->get_id()); + for (const auto& net : net_connections) + { + std::cout << net->get_id() << " - " << net->get_name() << std::endl; + } + + // if a single global output of the src netlist leads to multiple nets in the dst netlist, that means that the nets are functionally equivalent and we can connect/merge them. + // however this can lead to nets disappearing from the dst netlist which might be unexpected behavior. + + for (u32 i = 1; i < net_connections.size(); i++) + { + const auto& res = NetlistModificationDecorator(*dst_nl).connect_nets(new_net, net_connections.at(i)); + if (res.is_error()) + { + return ERR("unable to replace subgraph with netlist: failed to connect/merge all the net connections of net " + src_n->get_name() + " with ID " + + std::to_string(src_n->get_id())); + } + } + } + else + { + new_net = net_connections.front(); + } + } + else + { + return ERR("unable to replace subgraph with netlist: failed to locate mapped net in destination netlist for global io net " + src_n->get_name() + " with ID " + + std::to_string(src_n->get_id())); + } + } + else if (src_n->is_gnd_net()) + { + // set new net to an existing gnd net + const auto gnd_gate = dst_nl->get_gnd_gates().front(); + const auto out_net = gnd_gate->get_fan_out_nets().front(); + new_net = out_net; + } + else if (src_n->is_vcc_net()) + { + const auto vcc_gate = dst_nl->get_vcc_gates().front(); + const auto out_net = vcc_gate->get_fan_out_nets().front(); + new_net = out_net; + } + else + { + new_net = dst_nl->create_net("TEMP"); + const std::string new_name = new_net_name(new_net, src_n); + new_net->set_name(new_name); + } + + // connect net to sources + for (const auto src_ep : src_n->get_sources()) + { + const auto org_src_name = src_ep->get_gate()->get_name(); + const auto org_src_pin_name = src_ep->get_pin()->get_name(); + auto new_src_g = gate_name_to_gate.at(org_src_name); + if (new_net->add_source(new_src_g, org_src_pin_name) == nullptr) + { + return ERR("unable to replace subgraph with netlist: failed to add gate " + new_src_g->get_name() + " with ID " + std::to_string(new_src_g->get_id()) + " at pin " + + org_src_pin_name + " as new source to net " + new_net->get_name() + " with ID " + std::to_string(new_net->get_id())); + } + } + + // connect net to destinations + for (const auto src_ep : src_n->get_destinations()) + { + const auto org_dst_name = src_ep->get_gate()->get_name(); + const auto org_dst_pin_name = src_ep->get_pin()->get_name(); + auto new_dst_g = gate_name_to_gate.at(org_dst_name); + if (!new_net->add_destination(new_dst_g, org_dst_pin_name)) + { + return ERR("unable to replace subgraph with netlist: failed to add gate " + new_dst_g->get_name() + " with ID " + std::to_string(new_dst_g->get_id()) + " at pin " + + org_dst_pin_name + " as new destination to net " + new_net->get_name() + " with ID " + std::to_string(new_net->get_id())); + } + } + } + + // delete subgraph gates if flag is set + if (delete_subgraph_gates) + { + auto delete_res = delete_subgraph(dst_nl, subgraph); + if (delete_res.is_error()) + { + return ERR_APPEND(delete_res.get_error(), "unable to replace subgraph with netlist: failed to delete subgraph"); + } + } + + return OK({}); + } + + Result replace_gate_with_netlist(Gate* g, const Netlist* src_nl, Netlist* dst_nl, const bool delete_gate = true) + { + std::unordered_map> global_io_mapping; + + for (const auto& g_i : src_nl->get_top_module()->get_input_pins()) + { + const auto& pin_name = g_i->get_name(); + const auto i_net = g->get_fan_in_net(pin_name); + + if (i_net == nullptr) + { + return ERR("unable to replace gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + " with netlist: failed to find net connected to gate pin " + pin_name); + } + + global_io_mapping[g_i->get_net()].push_back(i_net); + } + + for (const auto& g_o : src_nl->get_top_module()->get_output_pins()) + { + const auto& pin_name = g_o->get_name(); + const auto o_net = g->get_fan_out_net(pin_name); + + if (o_net == nullptr) + { + return ERR("unable to replace gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + " with netlist: failed to find net connected to gate pin " + pin_name); + } + + global_io_mapping[g_o->get_net()].push_back(o_net); + } + + return replace_subgraph_with_netlist({g}, global_io_mapping, src_nl, dst_nl, delete_gate); + } + } // namespace + + namespace + { + Result, std::vector>> + find_gate_type(const GateLibrary* gl, const std::set& properties, const u32 num_inputs, const u32 num_outputs) + { + const auto get_valid_input_pins = [](const GateType* gt) -> std::vector { + return gt->get_pins([](const GatePin* gp) { return (gp->get_direction() == PinDirection::input) && (gp->get_type() != PinType::power) && (gp->get_type() != PinType::ground); }); + }; + + const auto get_valid_output_pins = [](const GateType* gt) -> std::vector { + return gt->get_pins([](const GatePin* gp) { return (gp->get_direction() == PinDirection::output) && (gp->get_type() != PinType::power) && (gp->get_type() != PinType::ground); }); + }; + + // get types that match exactly with the properties and have the exact amount of input pins (excluding power pins) + const auto candidates = gl->get_gate_types([properties, num_inputs, get_valid_input_pins, num_outputs, get_valid_output_pins](const GateType* gt) { + return (gt->get_properties() == properties) && (get_valid_input_pins(gt).size() == num_inputs) && (get_valid_output_pins(gt).size() == num_outputs); + }); + + if (candidates.empty()) + { + return ERR("Unable to find gate type matching the description"); + } + + GateType* valid_gate_type = candidates.begin()->second; + + return OK({valid_gate_type, get_valid_input_pins(valid_gate_type), get_valid_output_pins(valid_gate_type)}); + } + + // TODO change this to return a netlist. This would allow saving the decomposition of a specifc gate type + Result build_gate_tree_from_boolean_function(Netlist* nl, const BooleanFunction& bf, const std::map& var_name_to_net, const Gate* org_gate = nullptr) + { + const auto create_gate_name = [](const Gate* new_gate, const Gate* original_gate) -> std::string { + const std::string new_name = (original_gate == nullptr) ? "new_gate_" : original_gate->get_name() + "_decomposed_"; + return new_name + std::to_string(new_gate->get_id()); + }; + + const auto create_net_name = [](const Net* new_net, const Gate* original_gate) -> std::string { + const std::string new_name = (original_gate == nullptr) ? "new_net_" : original_gate->get_name() + "_decomposed_"; + return new_name + std::to_string(new_net->get_id()); + }; + + if (bf.is_empty()) + { + return ERR("cannot build gate tree for Boolean function: Boolean function is empty"); + } + + if (bf.is_index()) + { + return ERR("cannot build gate tree for Boolean function: Boolean function is of type index"); + } + + if (bf.size() != 1) + { + return ERR("cannot build gate tree for Boolean function: Boolean function if of size " + std::to_string(bf.size()) + " but we only handle size 1"); + } + + if (bf.is_constant()) + { + if (bf.has_constant_value(0)) + { + static Net* zero = nl->get_nets([](const Net* n) { return n->is_gnd_net(); }).front(); + return OK(zero); + } + + if (bf.has_constant_value(1)) + { + static Net* one = nl->get_nets([](const Net* n) { return n->is_vcc_net(); }).front(); + return OK(one); + } + } + + if (bf.is_variable()) + { + if (const auto it = var_name_to_net.find(bf.get_variable_name().get()); it == var_name_to_net.end()) + { + return ERR("Cannot build gate tree for Boolean function: Found variable " + bf.get_variable_name().get() + " with no corresponding net provided."); + } + else + { + return OK(it->second); + } + } + + if (!bf.get_top_level_node().is_operation()) + { + return ERR("Cannot build gate tree for Boolean function: cannot handle node type of top level node " + bf.get_top_level_node().to_string()); + } + + const auto operation = bf.get_top_level_node().type; + const auto parameters = bf.get_parameters(); + + // TODO put this into a function that only searches for the gate types when they are actually needed + static const auto inv_type_res = find_gate_type(nl->get_gate_library(), {GateTypeProperty::combinational, GateTypeProperty::c_inverter}, 1, 1); + static const auto and_type_res = find_gate_type(nl->get_gate_library(), {GateTypeProperty::combinational, GateTypeProperty::c_and}, 2, 1); + static const auto or_type_res = find_gate_type(nl->get_gate_library(), {GateTypeProperty::combinational, GateTypeProperty::c_or}, 2, 1); + static const auto xor_type_res = find_gate_type(nl->get_gate_library(), {GateTypeProperty::combinational, GateTypeProperty::c_xor}, 2, 1); + + if (inv_type_res.is_error()) + { + return ERR("Cannot build gate tree for Boolean function: failed to find valid inverter gate type"); + } + + if (and_type_res.is_error()) + { + return ERR("Cannot build gate tree for Boolean function: failed to find valid and gate type"); + } + + if (or_type_res.is_error()) + { + return ERR("Cannot build gate tree for Boolean function: failed to find valid or gate type"); + } + + if (xor_type_res.is_error()) + { + return ERR("Cannot build gate tree for Boolean function: failed to find valid xor gate type"); + } + + const std::map, std::vector>> node_type_to_gate_type = { + {BooleanFunction::NodeType::Not, inv_type_res.get()}, + {BooleanFunction::NodeType::And, and_type_res.get()}, + {BooleanFunction::NodeType::Or, or_type_res.get()}, + {BooleanFunction::NodeType::Xor, xor_type_res.get()}, + }; + + std::vector parameter_nets; + for (const auto& p : parameters) + { + const auto tree_res = build_gate_tree_from_boolean_function(nl, p, var_name_to_net, org_gate); + if (tree_res.is_error()) + { + return ERR_APPEND(tree_res.get_error(), "Cannot build gate tree for Boolean function: failed to do so for sub tree"); + } + parameter_nets.push_back(tree_res.get()); + } + + Gate* new_gate = nullptr; + Net* output_net = nl->create_net("__TEMP_NET_NAME__DECOMPOSED__"); + output_net->set_name(create_net_name(output_net, org_gate)); + + switch (operation) + { + case BooleanFunction::NodeType::Not: + case BooleanFunction::NodeType::And: + case BooleanFunction::NodeType::Or: + case BooleanFunction::NodeType::Xor: { + auto [gt, in_pins, out_pins] = node_type_to_gate_type.at(operation); + new_gate = nl->create_gate(gt, "__TEMP_GATE_NAME__DECOMPOSED__"); + for (u32 idx = 0; idx < parameter_nets.size(); idx++) + { + parameter_nets.at(idx)->add_destination(new_gate, in_pins.at(idx)); + } + output_net->add_source(new_gate, out_pins.front()); + break; + } + default: + break; + } + + if (new_gate == nullptr) + { + return ERR("Cannot build gate tree for Boolean function: failed to create gate for operation " + bf.get_top_level_node().to_string()); + } + + new_gate->set_name(create_gate_name(new_gate, org_gate)); + + if (org_gate != nullptr && !org_gate->get_module()->is_top_module()) + { + org_gate->get_module()->assign_gate(new_gate); + } + + return OK(output_net); + } + + Result> generate_decomposed_netlist_from_boolean_function(const std::vector>& bfs, const GateLibrary* gl) + { + auto nl = netlist_factory::create_netlist(gl); + + std::map var_name_to_net; + for (const auto& [out_pin_name, bf] : bfs) + { + const auto bf_vars = bf.get_variable_names(); + for (const auto& var : bf_vars) + { + if (var_name_to_net.find(var) != var_name_to_net.end()) + { + continue; + } + + Net* new_net = nl->create_net(var); + if (!new_net) + { + return ERR("unable to generate decomposed netlist from boolean function: failed to create net for boolean input var " + var); + } + + new_net->mark_global_input_net(); + var_name_to_net.insert({var, new_net}); + } + + auto new_out_net_res = build_gate_tree_from_boolean_function(nl.get(), bf, var_name_to_net, nullptr); + if (new_out_net_res.is_error()) + { + return ERR_APPEND(new_out_net_res.get_error(), "unable to generate decomposed netlist from boolean function: failed to build gate tree for boolean function"); + } + + Net* new_out_net = new_out_net_res.get(); + new_out_net->mark_global_output_net(); + new_out_net->set_name(out_pin_name); + + // rename top module pins to the var names. + // NOTE: this seems to be really depended on the order. Doing this earlier causes a crash. I did not fully understand what causes the top module pins to be created. + for (const auto& [var, in_net] : var_name_to_net) + { + auto in_pin = nl->get_top_module()->get_pin_by_net(in_net); + in_pin->set_name(var); + } + auto out_pin = nl->get_top_module()->get_pin_by_net(new_out_net); + out_pin->set_name(out_pin_name); + } + + return OK(std::move(nl)); + } + + } // namespace + + Result NetlistPreprocessingPlugin::decompose_gate(Netlist* nl, Gate* g, const bool delete_gate) + { + // build Boolean function for each output pin of the gate + + // TODO use vector of pairs + std::vector> output_pin_name_to_bf; + for (const auto pin : g->get_type()->get_output_pins()) + { + const auto bf_res = g->get_resolved_boolean_function(pin, true); + if (bf_res.is_error()) + { + return ERR_APPEND(bf_res.get_error(), + "unable to decompose gate" + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to build resolved boolean function for pin " + pin->get_name()); + } + + const auto bf = bf_res.get(); + output_pin_name_to_bf.push_back({pin->get_name(), bf}); + } + + auto resynth_res = generate_decomposed_netlist_from_boolean_function(output_pin_name_to_bf, nl->get_gate_library()); + if (resynth_res.is_error()) + { + return ERR_APPEND(resynth_res.get_error(), "unable to decompose gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to generate decomposed netlist"); + } + auto unique_resynth_nl = resynth_res.get(); + + const auto replace_res = replace_gate_with_netlist(g, unique_resynth_nl.get(), nl, false); + if (replace_res.is_error()) + { + return ERR_APPEND(replace_res.get_error(), "unable to decompose gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to replace gate with descomposed netlist"); + } + + if (delete_gate) + { + if (!nl->delete_gate(g)) + { + return ERR("unable to decompose gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to delete original gate."); + } + } + + return OK({}); + } + + Result NetlistPreprocessingPlugin::decompose_gates_of_type(Netlist* nl, const std::vector& gate_types) + { + std::map>, std::unique_ptr> gt_to_decomposed; + + u32 counter = 0; + for (const auto& gt : gate_types) + { + std::vector to_delete; + for (const auto& g : nl->get_gates([gt](const Gate* g) { return g->get_type() == gt; })) + { + const Netlist* resynth_nl = nullptr; + std::vector init_data; + + if (gt->has_property(GateTypeProperty::c_lut)) + { + const auto init_res = g->get_init_data(); + if (init_res.is_error()) + { + return ERR_APPEND(init_res.get_error(), "unable to decompose gates of type: failed to get init string from gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + const auto init_vec = init_res.get(); + if (init_vec.size() != 1) + { + return ERR("unable tor decompose gates of type: got " + std::to_string(init_vec.size()) + " init strings for gate" + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + + init_data = init_vec; + } + + // get resynth netlist from cache or create it + if (const auto it = gt_to_decomposed.find({gt, init_data}); it != gt_to_decomposed.end()) + { + resynth_nl = it->second.get(); + } + else + { + // build Boolean function for each output pin of the gate type + + // TODO use vector of pairs + std::vector> output_pin_name_to_bf; + for (const auto pin : g->get_type()->get_output_pins()) + { + const auto bf_res = g->get_resolved_boolean_function(pin, true); + if (bf_res.is_error()) + { + return ERR_APPEND(bf_res.get_error(), + "unable to decompose gate type " + g->get_type()->get_name() + " for gate instance " + g->get_name() + " with ID " + std::to_string(g->get_id()) + + ": failed to build resolved boolean function for pin " + pin->get_name()); + } + + const auto bf = bf_res.get(); + output_pin_name_to_bf.push_back({pin->get_name(), bf}); + } + + auto resynth_res = generate_decomposed_netlist_from_boolean_function(output_pin_name_to_bf, nl->get_gate_library()); + if (resynth_res.is_error()) + { + return ERR_APPEND(resynth_res.get_error(), "unable to decompose gates of type: failed to generate decomposed netlist for gate type " + gt->get_name()); + } + auto unique_resynth_nl = resynth_res.get(); + resynth_nl = unique_resynth_nl.get(); + + gt_to_decomposed.insert({std::make_pair(gt, init_data), std::move(unique_resynth_nl)}); + } + + const auto replace_res = replace_gate_with_netlist(g, resynth_nl, nl, false); + if (replace_res.is_error()) + { + return ERR_APPEND(replace_res.get_error(), + "unable to decompose gates of type " + gt->get_name() + ": failed to replace gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + to_delete.push_back(g); + } + + for (const auto& g : to_delete) + { + counter += 1; + if (!nl->delete_gate(g)) + { + return ERR("unable to resynthesize gates of type " + gt->get_name() + ": failed to delete gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + } + } + + return OK(counter); + } + + namespace + { + std::string build_functional_verilog_module_from(const std::unordered_map& bfs) + { + std::unordered_set input_variable_names; + + for (const auto& [name, bf] : bfs) + { + for (const auto& var_name : bf.get_variable_names()) + { + input_variable_names.insert(var_name); + } + } + + std::string verilog_str = "module top ("; + + std::string var_str = ""; + std::string io_str = ""; + std::string function_str = ""; + + for (const auto& input_var : input_variable_names) + { + var_str += (input_var + ", "); + io_str += ("input " + input_var + ";\n"); + } + + for (const auto& [output_var, bf] : bfs) + { + var_str += (output_var + ", "); + io_str += ("output " + output_var + ";\n"); + function_str += ("assign " + output_var + " = " + bf.to_string() + ";\n"); + } + + var_str = var_str.substr(0, var_str.size() - 2); + + verilog_str += var_str; + verilog_str += ");\n"; + + verilog_str += io_str; + + verilog_str += "\n"; + + verilog_str += function_str; + + verilog_str += "\n"; + verilog_str += "endmodule\n"; + verilog_str += "\n"; + + return verilog_str; + } + + Result> generate_resynth_netlist_for_boolean_functions(const std::unordered_map& bfs, + const std::filesystem::path& genlib_path, + GateLibrary* target_lib, + const bool optimize_area) + { + const auto verilog_module = build_functional_verilog_module_from(bfs); + + auto base_path_res = utils::get_unique_temp_directory("resynthesis_"); + if (base_path_res.is_error()) + { + return ERR_APPEND(base_path_res.get_error(), "unable to resynthesize boolean functions with yosys: failed to get unique temp directory"); + } + const std::filesystem::path base_path = base_path_res.get(); + const std::filesystem::path functional_netlist_path = base_path / "func_netlist.v"; + const std::filesystem::path resynthesized_netlist_path = base_path / "resynth_netlist.v"; + + std::filesystem::create_directory(base_path); + + std::ofstream out(functional_netlist_path); + out << verilog_module; + out.close(); + + auto yosys_query_res = yosys::query_binary_path(); + if (yosys_query_res.is_error()) + { + return ERR_APPEND(yosys_query_res.get_error(), "unable to resynthesize boolean functions with yosys: failed to find yosys path"); + } + + const auto yosys_path = yosys_query_res.get(); + const std::string command = yosys_path + " -q -p " + "\"read -sv " + functional_netlist_path.string() + "; hierarchy -top top; proc; fsm; opt; memory; opt; techmap; opt; abc -genlib " + + genlib_path.string() + "; " + "write_verilog " + resynthesized_netlist_path.string() + "; clean\""; + + // TODO check again the proper way to start a subprocess + system(command.c_str()); + + auto resynth_nl = netlist_factory::load_netlist(resynthesized_netlist_path, target_lib); + + if (resynth_nl == nullptr) + { + return ERR("Unable to resynthesize boolean functions with yosys: failed to load resynthesized netlist at " + resynthesized_netlist_path.string()); + } + + // TODO check whether this is needed here or maybe move this somewhere else + // yosys workaround for stupid net renaming + for (const auto& pin : resynth_nl->get_top_module()->get_input_pins()) + { + auto net = pin->get_net(); + net->set_name(pin->get_name()); + } + + // delete the created directory and the contained files + std::filesystem::remove_all(base_path); + + return OK(std::move(resynth_nl)); + } + + Result> generate_resynth_netlist_for_gate_level_subgraph(const Netlist* nl, + const std::vector& subgraph, + const std::filesystem::path& genlib_path, + GateLibrary* target_lib, + const bool optimize_area) + { + // TODO sanity check wether all gates in the subgraph have types that are in the genlib/target library + if (nl == nullptr) + { + return ERR("unable to resynthesize gate level subgraph: netlist is a nullptr"); + } + + if (target_lib == nullptr) + { + return ERR("unable to resynthesize gate level subgraph: gate library is a nullptr"); + } + + auto subgraph_nl_res = SubgraphNetlistDecorator(*nl).copy_subgraph_netlist(subgraph, true); + if (subgraph_nl_res.is_error()) + { + return ERR_APPEND(subgraph_nl_res.get_error(), "unable to resynthesize gate level subgraph: failed to copy subgraph netlist"); + } + const auto subgraph_nl = subgraph_nl_res.get(); + + auto base_path_res = utils::get_unique_temp_directory("resynthesis_"); + if (base_path_res.is_error()) + { + return ERR_APPEND(base_path_res.get_error(), "unable to resynthesize boolean functions with yosys: failed to get unique temp directory"); + } + const std::filesystem::path base_path = base_path_res.get(); + const std::filesystem::path org_netlist_path = base_path / "org_netlist.v"; + const std::filesystem::path resynthesized_netlist_path = base_path / "resynth_netlist.v"; + const std::filesystem::path yosys_helper_lib_path = base_path / "yosys_helper_lib.v"; + + std::filesystem::create_directory(base_path); + + if (!netlist_writer_manager::write(subgraph_nl.get(), org_netlist_path)) + { + return ERR("unable to resynthesize gate level subgraph: failed to write netlist to file"); + } + + // NOTE this is a way to get rid of the stupid timescale annotation that is added by the verilog writer (for the simulator!?) but cannot be parsed by yosys... + // Read the file into a vector of lines + std::vector lines; + std::ifstream input_file(org_netlist_path); + + std::string line; + while (std::getline(input_file, line)) + { + lines.push_back(line); + } + input_file.close(); + + // Remove the first line from the vector + if (!lines.empty()) + { + lines.erase(lines.begin()); + } + + // Write the modified lines back to the file + std::ofstream output_file(org_netlist_path); + for (const auto& line : lines) + { + output_file << line << std::endl; + } + output_file.close(); + + std::ofstream yosys_helper_lib_file(yosys_helper_lib_path); + yosys_helper_lib_file << get_yosys_helper_lib(); + yosys_helper_lib_file.close(); + + auto yosys_query_res = yosys::query_binary_path(); + if (yosys_query_res.is_error()) + { + return ERR_APPEND(yosys_query_res.get_error(), "Unable to resynthesize boolean functions with yosys: failed to find yosys path"); + } + + const auto yosys_path = yosys_query_res.get(); + const std::string command = yosys_path + " -q -p " + '"' + "read_verilog -sv " + org_netlist_path.string() + "; read_verilog " + yosys_helper_lib_path.string() + "; synth -flatten -top " + + subgraph_nl->get_design_name() + "; abc -genlib " + genlib_path.string() + "; " + "write_verilog " + resynthesized_netlist_path.string() + ";" + '"'; + + // log_debug("netlist_preprocessing", "yosys command: {}", command); + + system(command.c_str()); + + auto resynth_nl = netlist_factory::load_netlist(resynthesized_netlist_path, target_lib); + if (resynth_nl == nullptr) + { + return ERR("Unable to resynthesize gate level netlist with yosys: failed to load resynthesized netlist at " + resynthesized_netlist_path.string()); + } + + // delete the created directory and the contained files + std::filesystem::remove_all(base_path); + + return OK(std::move(resynth_nl)); + } + + // TODO move to the netlist traversal decorator, currently existing in the machine learning branch + std::vector get_outputs_of_subgraph(const std::vector& subgraph) + { + std::unordered_set subgraph_set = {subgraph.begin(), subgraph.end()}; + std::unordered_set outputs; + + for (const auto g : subgraph) + { + for (const auto ep : g->get_successors()) + { + // check whether gate has a successor outside the subgraph + if (subgraph_set.find(ep->get_gate()) == subgraph_set.end()) + { + outputs.insert(ep->get_net()); + } + } + } + + return {outputs.begin(), outputs.end()}; + } + + // TODO delete function and just build boolean functions in caller (or maybe dont, think about it) + Result> generate_resynth_netlist_for_functional_subgraph(const Netlist* nl, + const std::vector& subgraph, + const std::filesystem::path& genlib_path, + GateLibrary* target_lib, + const bool optimize_area) + { + const auto outputs = get_outputs_of_subgraph(subgraph); + + std::unordered_map bfs; + for (const auto o_net : outputs) + { + const auto o_net_var_name = BooleanFunctionNetDecorator(*o_net).get_boolean_variable_name(); + + const auto bf_res = SubgraphNetlistDecorator(*nl).get_subgraph_function(subgraph, o_net); + if (bf_res.is_error()) + { + return ERR_APPEND(bf_res.get_error(), + "unable to resynth subgraph: failed to generate boolean function for output net " + o_net->get_name() + " with ID " + std::to_string(o_net->get_id())); + } + auto bf = bf_res.get(); + + bfs.insert({o_net_var_name, bf}); + } + + return generate_resynth_netlist_for_boolean_functions(bfs, genlib_path, target_lib, optimize_area); + } + + Result> generate_resynth_netlist_for_gate(const Gate* g, GateLibrary* target_lib, const std::filesystem::path& genlib_path) + { + // build Boolean function for each output pin of the gate type + std::unordered_map output_pin_name_to_bf; + for (const auto pin : g->get_type()->get_output_pins()) + { + const auto bf_res = g->get_resolved_boolean_function(pin, true); + if (bf_res.is_error()) + { + return ERR_APPEND(bf_res.get_error(), + "unable to resynthesize lut type " + g->get_type()->get_name() + " for gate instance " + g->get_name() + " with ID " + std::to_string(g->get_id()) + + ": failed to build resolved boolean function for pin " + pin->get_name()); + } + + const auto bf = bf_res.get(); + output_pin_name_to_bf.insert({pin->get_name(), bf}); + } + + auto resynth_res = generate_resynth_netlist_for_boolean_functions(output_pin_name_to_bf, genlib_path, target_lib, true); + if (resynth_res.is_error()) + { + return ERR_APPEND(resynth_res.get_error(), + "unable to resynthesize lut type " + g->get_type()->get_name() + " for gate instance " + g->get_name() + " with ID " + std::to_string(g->get_id()) + + ": failed to resynthesize boolean functions of gate"); + } + + return OK(resynth_res.get()); + } + } // namespace + + Result NetlistPreprocessingPlugin::resynthesize_gate(Netlist* nl, Gate* g, GateLibrary* target_lib, const std::filesystem::path& genlib_path, const bool delete_gate) + { + if (g == nullptr) + { + return ERR("no valid gate selected (gate is nullptr)"); + } + + if (target_lib == nullptr) + { + return ERR("no valid target_lib selected (target_lib is nullptr)"); + } + + if (!utils::file_exists(genlib_path.string())) + { + return ERR("genlib does not exist"); + } + + auto resynth_res = generate_resynth_netlist_for_gate(g, target_lib, genlib_path); + if (resynth_res.is_error()) + { + return ERR_APPEND(resynth_res.get_error(), "unable to resynthesize gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to generate reynthesized netlist"); + } + const auto resynth_nl = resynth_res.get(); + const auto replace_res = replace_gate_with_netlist(g, resynth_nl.get(), nl, false); + if (replace_res.is_error()) + { + return ERR_APPEND(replace_res.get_error(), + "unable to resynthesize gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to replace gate with resynthesized netlist"); + } + + if (delete_gate) + { + if (!nl->delete_gate(g)) + { + return ERR("unable to decompose gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + ": failed to delete original gate."); + } + } + + return OK({}); + } + + Result NetlistPreprocessingPlugin::resynthesize_gates(Netlist* nl, const std::vector& gates, GateLibrary* target_gl) + { + auto base_path_res = utils::get_unique_temp_directory("resynthesis_"); + if (base_path_res.is_error()) + { + return ERR_APPEND(base_path_res.get_error(), "unable to resynthesize boolean functions with yosys: failed to get unique temp directory"); + } + const std::filesystem::path base_path = base_path_res.get(); + const std::filesystem::path genlib_path = base_path / "new_gate_library.genlib"; + std::filesystem::create_directory(base_path); + + const auto gl_save_res = gate_library_manager::save(genlib_path, target_gl, true); + if (!gl_save_res) + { + return ERR("unable to resynthesize gates of type: failed to save gate library " + target_gl->get_name() + " to location " + genlib_path.string()); + } + + std::map>, std::unique_ptr> gt_to_resynth; + + std::vector to_delete; + u32 counter = 0; + for (const auto& g : gates) + { + const auto& gt = g->get_type(); + + Netlist* resynth_nl = nullptr; + std::vector init_data = {}; + + if (gt->has_property(GateTypeProperty::c_lut)) + { + const auto init_res = g->get_init_data(); + if (init_res.is_error()) + { + return ERR_APPEND(init_res.get_error(), "unable to resynthesize gates of type: failed to get init string from gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + const auto init_vec = init_res.get(); + if (init_vec.size() != 1) + { + return ERR("unable tor resynthesize gates of type: got " + std::to_string(init_vec.size()) + " init strings for gate" + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + + init_data = init_vec; + } + + // get resynth netlist from cache or create it + if (const auto it = gt_to_resynth.find({gt, init_data}); it != gt_to_resynth.end()) + { + resynth_nl = it->second.get(); + } + else + { + auto resynth_res = generate_resynth_netlist_for_gate(g, target_gl, genlib_path); + if (resynth_res.is_error()) + { + return ERR_APPEND(resynth_res.get_error(), "unable to resynthesize gates of type: failed to resynthesize gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + auto unique_resynth_nl = resynth_res.get(); + resynth_nl = unique_resynth_nl.get(); + gt_to_resynth.insert({std::make_pair(gt, init_data), std::move(unique_resynth_nl)}); + } + + const auto replace_res = replace_gate_with_netlist(g, resynth_nl, nl, false); + if (replace_res.is_error()) + { + return ERR_APPEND(replace_res.get_error(), "unable to resynthesize gates of type " + gt->get_name() + ": failed for gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + to_delete.push_back(g); + } + + for (const auto& g : to_delete) + { + counter += 1; + if (!nl->delete_gate(g)) + { + return ERR("unable to resynthesize gates of type " + g->get_type()->get_name() + ": failed to delete gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + } + + // delete the created directory and the contained files + std::filesystem::remove_all(base_path); + + return OK(counter); + } + + Result NetlistPreprocessingPlugin::resynthesize_gates_of_type(Netlist* nl, const std::vector& gate_types, GateLibrary* target_gl) + { + const std::vector filtered_gates = nl->get_gates([gate_types](const auto& gate) { + bool is_included = false; + for (const auto& gt : gate_types) + { + if (gate->get_type() == gt) + { + is_included = true; + break; + } + } + + return is_included; + }); + + return resynthesize_gates(nl, filtered_gates, target_gl); + } + + Result NetlistPreprocessingPlugin::resynthesize_subgraph(Netlist* nl, const std::vector& subgraph, GateLibrary* target_gl) + { + if (nl == nullptr) + { + return ERR("netlist is a nullptr"); + } + + if (target_gl == nullptr) + { + return ERR("gate library is a nullptr"); + } + + auto base_path_res = utils::get_unique_temp_directory("resynthesis_"); + if (base_path_res.is_error()) + { + return ERR_APPEND(base_path_res.get_error(), "unable to resynthesize boolean functions with yosys: failed to get unique temp directory"); + } + const std::filesystem::path base_path = base_path_res.get(); + const std::filesystem::path genlib_path = base_path / "new_gate_library.genlib"; + std::filesystem::create_directory(base_path); + + const auto gl_save_res = gate_library_manager::save(genlib_path, target_gl, true); + if (!gl_save_res) + { + return ERR("unable to resynthesize gates of type: failed to save gate library " + target_gl->get_name() + " to location " + genlib_path.string()); + } + + // auto resynth_res = resynthesize_functional_subgraph_with_yosys(nl, subgraph, genlib_path, target_gl, true); + auto resynth_res = generate_resynth_netlist_for_gate_level_subgraph(nl, subgraph, genlib_path, target_gl, true); + if (resynth_res.is_error()) + { + return ERR_APPEND(resynth_res.get_error(), "unable to resynthesize subgraphs of type: failed to resynthesize subgraph to netlist"); + } + auto resynth_nl = resynth_res.get(); + + std::unordered_map name_to_net; + for (const auto n : nl->get_nets()) + { + name_to_net.insert({n->get_name(), n}); + } + + std::unordered_map> global_io_mapping; + + // use top module pin names to find correponding nets in original netlist + for (const auto& pin : resynth_nl->get_top_module()->get_input_pins()) + { + auto net_it = name_to_net.find(pin->get_name()); + if (net_it == name_to_net.end()) + { + return ERR("unable to resynthesize subgraphs of type: failed to locate net in destination netlist from global input " + pin->get_name() + " in resynthesized netlist"); + } + global_io_mapping[pin->get_net()].push_back(net_it->second); + } + for (const auto& pin : resynth_nl->get_top_module()->get_output_pins()) + { + auto net_it = name_to_net.find(pin->get_name()); + if (net_it == name_to_net.end()) + { + return ERR("unable to resynthesize subgraphs of type: failed to locate net in destination netlist from global output " + pin->get_name() + " in resynthesized netlist"); + } + global_io_mapping[pin->get_net()].push_back(net_it->second); + } + + auto replace_res = replace_subgraph_with_netlist(subgraph, global_io_mapping, resynth_nl.get(), nl, false); + if (replace_res.is_error()) + { + return ERR_APPEND(replace_res.get_error(), "unable to resynthesize subgraphs of type: failed to replace subgrap with resynthesized netlist"); + } + + // delete subgraph gates + auto delete_res = delete_subgraph(nl, subgraph); + if (delete_res.is_error()) + { + return ERR_APPEND(delete_res.get_error(), "unable to replace subgraph with netlist: failed to delete subgraph"); + } + + // delete the created directory and the contained files + std::filesystem::remove_all(base_path); + + return OK(subgraph.size()); + } + + Result NetlistPreprocessingPlugin::resynthesize_subgraph_of_type(Netlist* nl, const std::vector& gate_types, GateLibrary* target_gl) + { + std::vector subgraph; + for (const auto& gt : gate_types) + { + for (const auto& g : nl->get_gates([gt](const Gate* g) { return g->get_type() == gt; })) + { + subgraph.push_back(g); + } + } + + return resynthesize_subgraph(nl, subgraph, target_gl); + } + + // The following is MUX optimizations that do not really belong here but use some of the resynth functions that are not exposed outside this file + namespace + { + Result remove_encasing_inverters(Netlist* nl) + { + // check whether all inputs and output are inverted -> remove all inverters + + // TODO: this only considers HAL muxes, but i do not see a reason why. There is no resynthesis happening here + std::vector muxes = nl->get_gates([](const Gate* g) { return (g->get_type()->get_name().find("HAL_MUX") != std::string::npos); }); + + u32 delete_count = 0; + std::vector delete_gate_q; + + for (const auto& g : muxes) + { + if (g->get_successors().size() > 1) + { + continue; + } + + auto data_pins = g->get_type()->get_pins([](const GatePin* pin) { return (pin->get_type() == PinType::data) && (pin->get_direction() == PinDirection::input); }); + auto out_pins = g->get_type()->get_pins([](const GatePin* pin) { return (pin->get_direction() == PinDirection::output); }); + + if (data_pins.size() < 2) + { + continue; + } + + if (out_pins.size() != 1) + { + continue; + } + + bool preceded_by_inv = true; + for (const auto& pin : data_pins) + { + const auto pred = g->get_predecessor(pin); + if (pred == nullptr || pred->get_gate() == nullptr || !pred->get_gate()->get_type()->has_property(GateTypeProperty::c_inverter)) + { + preceded_by_inv = false; + break; + } + } + + if (!preceded_by_inv) + { + continue; + } + + bool succeded_by_inv = true; + for (const auto& pin : out_pins) + { + const auto suc = g->get_successor(pin); + if (suc == nullptr || suc->get_gate() == nullptr || !suc->get_gate()->get_type()->has_property(GateTypeProperty::c_inverter)) + { + succeded_by_inv = false; + break; + } + } + + if (!succeded_by_inv) + { + continue; + } + + // delete all connections from and to inverters (and inverter gates if they do not share any other connection) + for (const auto& pin : data_pins) + { + const auto pred = g->get_predecessor(pin); + + // disconnect inverter output from mux + pred->get_net()->remove_destination(g, pin); + + // connect inverter input net to mux + auto in_net = pred->get_gate()->get_fan_in_nets().front(); + in_net->add_destination(g, pin); + + // delete inverter gate if it does not have any successors + if (pred->get_gate()->get_successors().empty()) + { + delete_gate_q.push_back(pred->get_gate()); + } + } + + for (const auto& pin : out_pins) + { + const auto suc = g->get_successor(pin); + + // disconnect inverter input from mux + suc->get_net()->remove_source(g, pin); + + // connect inverter output net to mux + auto in_net = suc->get_gate()->get_fan_out_nets().front(); + in_net->add_source(g, pin); + + // delete inverter gate if it does not have any predecessors + if (suc->get_gate()->get_predecessors().empty()) + { + delete_gate_q.push_back(suc->get_gate()); + } + } + } + + for (auto g : delete_gate_q) + { + nl->delete_gate(g); + delete_count++; + } + + log_info("netlist_preprocessing", "removed {} encasing inverters", delete_count); + + return OK(delete_count); + } + + struct MuxFingerprint + { + GateType* type; + std::set inverters; + + bool operator<(const MuxFingerprint& other) const + { + return (other.type < type) || (other.type == type && other.inverters < inverters); + } + }; + + Result unify_inverted_select_signals(Netlist* nl, GateLibrary* mux_inv_gl) + { + if (nl == nullptr) + { + return ERR("netlist is a nullptr"); + } + + if (mux_inv_gl == nullptr) + { + return ERR("gate library is a nullptr"); + } + + auto base_path_res = utils::get_unique_temp_directory("resynthesis_"); + if (base_path_res.is_error()) + { + return ERR_APPEND(base_path_res.get_error(), "unable to resynthesize boolean functions with yosys: failed to get unique temp directory"); + } + const std::filesystem::path base_path = base_path_res.get(); + const std::filesystem::path genlib_path = base_path / "mux_inv.genlib"; + std::filesystem::create_directory(base_path); + + const auto gl_save_res = gate_library_manager::save(genlib_path, mux_inv_gl, true); + if (!gl_save_res) + { + return ERR("unable to unify muxe select signals: failed to save gate library " + mux_inv_gl->get_name() + " to location " + genlib_path.string()); + } + + const i64 initial_size = nl->get_gates().size(); + + // resynthesize all muxes where any select signal is preceded by an inverter hoping to unify the structure with regards to other muxes conntected to the same select signal + + // TODO: as long as resynthezising the subgraph this can only consider HAL muxes + std::vector muxes = nl->get_gates([](const Gate* g) { return (g->get_type()->get_name().find("HAL_MUX") != std::string::npos); }); + + std::map> resynth_cache; + + for (const auto& g : muxes) + { + // MUX fingerprint for caching resynthesis results + MuxFingerprint mf; + mf.type = g->get_type(); + + // mapping from MUX select pins to either the input net of the preceding inverter or the net directly connected to the select pin + std::map pin_to_input; + + auto select_pins = g->get_type()->get_pins([](const GatePin* pin) { return (pin->get_type() == PinType::select) && (pin->get_direction() == PinDirection::input); }); + + std::vector preceding_inverters; + for (const auto& pin : g->get_type()->get_input_pins()) + { + const auto pred = g->get_predecessor(pin); + const auto is_select = (std::find(select_pins.begin(), select_pins.end(), pin) != select_pins.end()); + if (!is_select || pred == nullptr || pred->get_gate() == nullptr || !pred->get_gate()->get_type()->has_property(GateTypeProperty::c_inverter) + || (pred->get_gate()->get_fan_in_endpoints().size() != 1)) + { + pin_to_input.insert({pin, g->get_fan_in_net(pin)}); + } + else + { + auto inv_gate = pred->get_gate(); + preceding_inverters.push_back(inv_gate); + pin_to_input.insert({pin, inv_gate->get_fan_in_endpoints().front()->get_net()}); + mf.inverters.insert(pin); + } + } + + // if there is at least one inverter in front of the mux gate we build a subgraph containing all inverters and the mux gate and resynthesize + if (!preceding_inverters.empty()) + { + const Netlist* resynth_nl; + + auto subgraph = preceding_inverters; + subgraph.push_back(g); + + // try to use cached resynth netlist + if (const auto it = resynth_cache.find(mf); it == resynth_cache.end()) + { + std::unordered_map bfs; + for (const auto& ep : g->get_fan_out_endpoints()) + { + const auto bf_res = SubgraphNetlistDecorator(*nl).get_subgraph_function(subgraph, ep->get_net()); + if (bf_res.is_error()) + { + return ERR_APPEND(bf_res.get_error(), + "unable to unify muxes select signals: failed to build boolean function for mux " + g->get_name() + " with ID " + std::to_string(g->get_id()) + + " at output " + ep->get_pin()->get_name()); + } + auto bf = bf_res.get(); + + // replace all net id vars with generic vaiables refering to their connectivity to the mux + for (const auto& [pin, net] : pin_to_input) + { + auto sub_res = bf.substitute(BooleanFunctionNetDecorator(*net).get_boolean_variable_name(), BooleanFunction::Var(pin->get_name(), 1)); + if (sub_res.is_error()) + { + return ERR_APPEND(sub_res.get_error(), "unable to unify muxes select signals: failed to substitute net_id variable with generic variable"); + } + bf = sub_res.get(); + } + + bfs.insert({ep->get_pin()->get_name(), std::move(bf)}); + } + + auto resynth_res = generate_resynth_netlist_for_boolean_functions(bfs, genlib_path, mux_inv_gl, true); + if (resynth_res.is_error()) + { + return ERR_APPEND(resynth_res.get_error(), "unable to unify select signals of muxes: failed to resynthesize mux subgraph to netlist"); + } + auto unique_resynth_nl = resynth_res.get(); + resynth_nl = unique_resynth_nl.get(); + resynth_cache.insert({mf, std::move(unique_resynth_nl)}); + } + else + { + resynth_nl = it->second.get(); + } + + std::unordered_map> global_io_mapping; + + // use top module pin names to find correponding nets in original netlist + for (const auto& pin : resynth_nl->get_top_module()->get_input_pins()) + { + auto net_it = pin_to_input.find(g->get_type()->get_pin_by_name(pin->get_name())); + if (net_it == pin_to_input.end()) + { + return ERR("unable to unify muxes select signals:: failed to locate net in destination netlist from global input " + pin->get_name() + " in resynthesized netlist"); + } + global_io_mapping[pin->get_net()].push_back(net_it->second); + } + for (const auto& pin : resynth_nl->get_top_module()->get_output_pins()) + { + auto net = g->get_fan_out_net(pin->get_name()); + if (net == nullptr) + { + return ERR("unable to unify muxes select signals:: failed to locate net in destination netlist from global output " + pin->get_name() + " in resynthesized netlist"); + } + global_io_mapping[pin->get_net()].push_back(net); + } + + auto replace_res = replace_subgraph_with_netlist(subgraph, global_io_mapping, resynth_nl, nl, false); + if (replace_res.is_error()) + { + return ERR("unable to unify muxes select signals: failed to replace mux subgraph with resynthesized netlist"); + } + + // delete old subgraph gates that only fed into the mux + std::vector to_delete; + for (const auto g : subgraph) + { + bool has_no_outside_destinations = true; + bool has_only_outside_destinations = true; + for (const auto& suc : g->get_successors()) + { + const auto it = std::find(subgraph.begin(), subgraph.end(), suc->get_gate()); + if (it == subgraph.end()) + { + has_no_outside_destinations = false; + } + + if (it != subgraph.end()) + { + has_only_outside_destinations = false; + } + } + + if (has_no_outside_destinations || has_only_outside_destinations) + { + to_delete.push_back(g); + } + } + + for (const auto& g : to_delete) + { + if (!nl->delete_gate(g)) + { + return ERR("unable to unify muxes select signals: failed to delete gate " + g->get_name() + " with ID " + std::to_string(g->get_id()) + " in destination netlist"); + } + } + } + } + + // delete the created directory and the contained files + std::filesystem::remove_all(base_path); + + const i64 new_size = nl->get_gates().size(); + const i64 difference = std::abs(initial_size - new_size); + + return OK(u32(difference)); + } + + Result unify_select_signals(Netlist* nl) + { + if (nl == nullptr) + { + return ERR("netlist is a nullptr"); + } + + u32 changed_connections = 0; + + // sort into groups of same type and identical select signals + std::map>, std::vector> grouped_muxes; + for (const auto& g : nl->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::c_mux); })) + { + std::set select_signals; + const auto select_pins = g->get_type()->get_pins([](const GatePin* pin) { return (pin->get_type() == PinType::select) && (pin->get_direction() == PinDirection::input); }); + for (const auto& sp : select_pins) + { + select_signals.insert(g->get_fan_in_net(sp)); + } + + grouped_muxes[{g->get_type(), select_signals}].push_back(g); + } + + // unify select signals for each group + for (const auto& [finger_print, mux_group] : grouped_muxes) + { + const auto& [type, select_signals_set] = finger_print; + const auto select_pins = type->get_pins([](const GatePin* pin) { return (pin->get_type() == PinType::select) && (pin->get_direction() == PinDirection::input); }); + const auto output_pins = type->get_pins([](const GatePin* pin) { return pin->get_direction() == PinDirection::output; }); + + if (output_pins.size() != 1) + { + log_warning("netlist_preprocessing", + "Cannot unify select signals for muxes of type {} since the type has {} output signals and we can only handle 1.", + type->get_name(), + output_pins.size()); + continue; + } + + // check whether there is one mapping from select signals to select pins + std::map, std::vector> select_map_to_muxes; + for (const auto& g : mux_group) + { + std::map select_map; + for (const auto& sp : select_pins) + { + select_map.insert({sp, g->get_fan_in_net(sp)}); + } + + select_map_to_muxes[select_map].push_back(g); + } + + if (select_map_to_muxes.size() == 1) + { + continue; + } + + const std::vector select_signals = {select_signals_set.begin(), select_signals_set.end()}; + + // collect a new mapping from net to gate pin for each mux gate + std::map> new_net_to_pin; + + // add newly ordered select signals to pin/net mapping + for (const auto& g : mux_group) + { + for (u32 select_index = 0; select_index < select_pins.size(); select_index++) + { + auto select_pin = select_pins.at(select_index); + auto select_signal = select_signals.at(select_index); + new_net_to_pin[g][select_pin] = select_signal; + } + } + + // determine new pin/net connection for each "data" signal + auto type_bf = type->get_boolean_function(output_pins.front()); + for (u32 select_val = 0; select_val < (1 << select_signals.size()); select_val++) + { + std::map type_substitution; + for (u32 select_idx = 0; select_idx < select_pins.size(); select_idx++) + { + auto select_pin = select_pins.at(select_idx); + + auto type_substitution_val = ((select_val >> select_idx) & 0x1) ? BooleanFunction::Const(1, 1) : BooleanFunction::Const(0, 1); + type_substitution.insert({select_pin->get_name(), type_substitution_val}); + } + + auto type_substitution_res = type_bf.substitute(type_substitution); + if (type_substitution_res.is_error()) + { + return ERR_APPEND(type_substitution_res.get_error(), "cannot unify mux select signals: failed to substitute type Boolean function with select signal value mapping."); + } + auto input = type_substitution_res.get().simplify_local(); + + if (!input.is_variable()) + { + return ERR("cannot unify mux select signals: substituted and simplified type Boolean function (" + input.to_string() + ") is not a variable"); + } + + const auto pin_name = input.get_variable_name().get(); + auto pin = type->get_pins([pin_name](const auto& p) { return p->get_name() == pin_name; }).front(); + + for (const auto& g : mux_group) + { + auto gate_bf_res = g->get_resolved_boolean_function(output_pins.front(), false); + if (gate_bf_res.is_error()) + { + return ERR_APPEND(gate_bf_res.get_error(), + "cannot unify mux select signals: failed to build Boolean function for gate " + g->get_name() + " with ID " + std::to_string(g->get_id())); + } + auto gate_bf = gate_bf_res.get(); + + std::map gate_substitution; + + for (u32 select_idx = 0; select_idx < select_pins.size(); select_idx++) + { + auto gate_substitution_val = ((select_val >> select_idx) & 0x1) ? BooleanFunction::Const(1, 1) : BooleanFunction::Const(0, 1); + gate_substitution.insert({BooleanFunctionNetDecorator(*(select_signals.at(select_idx))).get_boolean_variable_name(), gate_substitution_val}); + } + + auto gate_substitution_res = gate_bf.substitute(gate_substitution); + if (gate_substitution_res.is_error()) + { + return ERR_APPEND(gate_substitution_res.get_error(), "cannot unify mux select signals: failed to substitute gate Boolean function with select signal value mapping."); + } + auto input_net_var = gate_substitution_res.get().simplify_local(); + auto net_res = BooleanFunctionNetDecorator::get_net_from(nl, input_net_var); + + if (net_res.is_error()) + { + return ERR_APPEND(net_res.get_error(), "cannot unify mux select signals: failed to extract net from substituted and simplified gate Boolean function"); + } + + auto net = net_res.get(); + new_net_to_pin[g][pin] = net; + } + } + + // apply new pin/net mapping to all gates + for (auto& [g, pin_net] : new_net_to_pin) + { + for (const auto& [pin, net] : pin_net) + { + auto connected_net = g->get_fan_in_net(pin); + if (net == connected_net) + { + continue; + } + + connected_net->remove_destination(g, pin); + net->add_destination(g, pin); + + changed_connections += 1; + } + } + } + + return OK(changed_connections); + } + } // namespace + + Result NetlistPreprocessingPlugin::manual_mux_optimizations(Netlist* nl, GateLibrary* mux_inv_gl) + { + u32 res_count = 0; + + if (nl == nullptr) + { + return ERR("netlist is a nullptr"); + } + + if (mux_inv_gl == nullptr) + { + return ERR("gate library is a nullptr"); + } + + auto remove_res = remove_encasing_inverters(nl); + if (remove_res.is_error()) + { + return ERR_APPEND(remove_res.get_error(), "unable to apply manual mux optimizations: failed to remove encasing inverters"); + } + res_count += remove_res.get(); + + auto unify_inverted_res = unify_inverted_select_signals(nl, mux_inv_gl); + if (unify_inverted_res.is_error()) + { + return ERR_APPEND(unify_inverted_res.get_error(), "unable to apply manual mux optimizations: failed to unify inverted select signals"); + } + res_count += unify_inverted_res.get(); + + auto unify_res = unify_select_signals(nl); + if (unify_res.is_error()) + { + return ERR_APPEND(unify_res.get_error(), "unable to apply manual mux optimizations: failed to unify select signals"); + } + res_count += unify_res.get(); + + return OK(res_count); + } + +} // namespace hal \ No newline at end of file diff --git a/plugins/netlist_preprocessing/test/CMakeLists.txt b/plugins/netlist_preprocessing/test/CMakeLists.txt index 8ce228c5bb4..bdd35c775b3 100644 --- a/plugins/netlist_preprocessing/test/CMakeLists.txt +++ b/plugins/netlist_preprocessing/test/CMakeLists.txt @@ -3,7 +3,7 @@ if(BUILD_TESTS) add_executable(runTest-netlist_preprocessing netlist_preprocessing.cpp) - target_link_libraries(runTest-netlist_preprocessing netlist_preprocessing pthread gtest hal::core hal::netlist test_utils) + target_link_libraries(runTest-netlist_preprocessing netlist_preprocessing gtest hal::core hal::netlist test_utils) add_test(runTest-netlist_preprocessing ${CMAKE_BINARY_DIR}/bin/hal_plugins/runTest-netlist_preprocessing --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) diff --git a/plugins/netlist_preprocessing/test/netlist_preprocessing.cpp b/plugins/netlist_preprocessing/test/netlist_preprocessing.cpp index 7b1fb73a191..28a674d1b5d 100644 --- a/plugins/netlist_preprocessing/test/netlist_preprocessing.cpp +++ b/plugins/netlist_preprocessing/test/netlist_preprocessing.cpp @@ -236,9 +236,9 @@ namespace hal { /** * Test the deletion of redundant logic gates. * - * Functions: remove_redundant_logic + * Functions: remove_redundant_gates */ - TEST_F(NetlistPreprocessingTest, check_remove_redundant_logic) + TEST_F(NetlistPreprocessingTest, check_remove_redundant_gates) { TEST_START { @@ -274,7 +274,7 @@ namespace hal { Net* n5 = test_utils::connect(nl.get(), g2, "Q", g4, "I0"); Net* n6 = test_utils::connect(nl.get(), g3, "QN", g4, "I1"); - auto res = NetlistPreprocessingPlugin::remove_redundant_logic(nl.get()); + auto res = NetlistPreprocessingPlugin::remove_redundant_gates(nl.get()); ASSERT_TRUE(res.is_ok()); EXPECT_EQ(res.get(), 2); diff --git a/plugins/simulator/netlist_simulator_controller/src/vcd_serializer.cpp b/plugins/simulator/netlist_simulator_controller/src/vcd_serializer.cpp index 60597d669b3..6de1111df84 100644 --- a/plugins/simulator/netlist_simulator_controller/src/vcd_serializer.cpp +++ b/plugins/simulator/netlist_simulator_controller/src/vcd_serializer.cpp @@ -1,26 +1,30 @@ #include "netlist_simulator_controller/vcd_serializer.h" + +#include "hal_core/netlist/net.h" +#include "hal_core/utilities/log.h" #include "netlist_simulator_controller/netlist_simulator_controller.h" -#include "netlist_simulator_controller/saleae_writer.h" -#include "netlist_simulator_controller/saleae_parser.h" #include "netlist_simulator_controller/saleae_file.h" +#include "netlist_simulator_controller/saleae_parser.h" +#include "netlist_simulator_controller/saleae_writer.h" #include "netlist_simulator_controller/wave_data.h" -#include "hal_core/utilities/log.h" -#include "hal_core/netlist/net.h" -#include -#include + +#include #include -#include +#include #include +#include +#include #include -#include -namespace hal { +namespace hal +{ const int maxErrorMessages = 3; - VcdSerializerElement::VcdSerializerElement(int inx, const WaveData* wd) - : mIndex(inx), mData(wd), mTime(0), mValue(SaleaeDataTuple::sReadError) - {;} + VcdSerializerElement::VcdSerializerElement(int inx, const WaveData* wd) : mIndex(inx), mData(wd), mTime(0), mValue(SaleaeDataTuple::sReadError) + { + ; + } QString VcdSerializerElement::name() const { @@ -35,30 +39,32 @@ namespace hal { void VcdSerializerElement::reset() { mValue = SaleaeDataTuple::sReadError; - mTime = 0; + mTime = 0; } QByteArray VcdSerializerElement::charCode() const { QByteArray retval; - int z = mIndex; + int z = mIndex; char firstChar = '!'; do { - retval += (char) (firstChar + z%92); + retval += (char)(firstChar + z % 92); z /= 92; - if (z<92) firstChar = ' '; // most significant digit must be non-zero - } while (z>0); + if (z < 92) + { + firstChar = ' '; // most significant digit must be non-zero + } + } while (z > 0); return retval; } -//---------------------------------- - VcdSerializer::VcdSerializer(const QString& workdir, bool saleae_cli, QObject *parent) - : QObject(parent), mSaleaeWriter(nullptr), mWorkdir(workdir), mLastProgress(-1) + //---------------------------------- + VcdSerializer::VcdSerializer(const QString& workdir, bool saleae_cli, QObject* parent) : QObject(parent), mSaleaeWriter(nullptr), mWorkdir(workdir), mLastProgress(-1) { if (!mWorkdir.isEmpty() && !saleae_cli) { - QDir saleaeDir = QDir(mWorkdir).absoluteFilePath("saleae"); + QDir saleaeDir = QDir(mWorkdir).absoluteFilePath("saleae"); mSaleaeDirectoryFilename = saleaeDir.absoluteFilePath("saleae.json"); } else @@ -67,7 +73,6 @@ namespace hal { } } - void VcdSerializer::deleteFiles() { mSaleaeFiles.clear(); @@ -75,9 +80,12 @@ namespace hal { memset(mErrorCount, 0, sizeof(mErrorCount)); } - void VcdSerializer::writeVcdEvent(QFile&of) + void VcdSerializer::writeVcdEvent(QFile& of) { - if (mTime < mFirstTimestamp || mTime > mLastTimestamp) return; + if (mTime < mFirstTimestamp || mTime > mLastTimestamp) + { + return; + } bool first = true; for (VcdSerializerElement* vse : mWriteElements) { @@ -92,129 +100,156 @@ namespace hal { of.write(QByteArray::number(vse->value()) + vse->charCode() + '\n'); vse->value(); vse->reset(); - } } } - bool VcdSerializer::exportVcd(const QString &filename, const QList& waves, u32 startTime, u32 endTime, u32 timeSift) + bool VcdSerializer::exportVcd(const QString& filename, const QList& waves, u32 startTime, u32 endTime, u32 timeSift) { - mTimeShift = timeSift; + mTimeShift = timeSift; mFirstTimestamp = startTime; mLastTimestamp = endTime - mTimeShift; - if (waves.isEmpty()) return false; + if (waves.isEmpty()) + { + return false; + } SaleaeParser parser(mSaleaeDirectoryFilename.toStdString()); QFile of(filename); - if (!of.open(QIODevice::WriteOnly)) return false; + if (!of.open(QIODevice::WriteOnly)) + { + return false; + } mTime = 0; of.write(QByteArray("$scope module top_module $end\n")); int n = waves.size(); - for (int i=0; iname().toStdString(),wd->id(),[this,&of](const void* obj, uint64_t t, int val) { - VcdSerializerElement* vse = (VcdSerializerElement*) obj; - if ((int)t - (int)mTimeShift < 0) { - vse->setEvent(0,val); - } - else { - if (t != mTime) + parser.register_callback( + wd->name().toStdString(), + wd->id(), + [this, &of](const void* obj, uint64_t t, int val) { + VcdSerializerElement* vse = (VcdSerializerElement*)obj; + if ((int)t - (int)mTimeShift < 0) { - writeVcdEvent(of); - mTime = t - mTimeShift; + vse->setEvent(0, val); } - vse->setEvent(t,val); - } - },vse); + else + { + if (t != mTime) + { + writeVcdEvent(of); + mTime = t - mTimeShift; + } + vse->setEvent(t, val); + } + }, + vse); QString line = QString("$var wire 1 %1 %2 $end\n").arg(QString::fromUtf8(vse->charCode())).arg(vse->name()); of.write(line.toUtf8()); } of.write(QByteArray("$upscope $end\n$enddefinitions $end\n")); - while (parser.next_event()) {;} + while (parser.next_event()) + { + ; + } for (VcdSerializerElement* vse : mWriteElements) + { delete vse; + } mWriteElements.clear(); return true; } - bool VcdSerializer::parseVcdDataNonDecimal(const QByteArray &line, int base) + bool VcdSerializer::parseVcdDataNonDecimal(const QByteArray& line, int base) { QList sl = line.split(' '); - if (sl.size()!=2) return false; + if (sl.size() != 2) + { + return false; + } bool ok; - int val = sl.at(0).toUInt(&ok,base); + int val = sl.at(0).toUInt(&ok, base); if (!ok) { if (mErrorCount[0]++ < maxErrorMessages) + { log_warning("waveform_viewer", "Cannot parse VCD data value '{}'", std::string(sl.at(0).data())); + } val = 0; } storeValue(val, sl.at(1)); // [return ok] return statement if we want to bail out upon data parse error - return true; // ignore parse errors + return true; // ignore parse errors } - bool VcdSerializer::parseVcdDataline(char *buf, int len) + bool VcdSerializer::parseVcdDataline(char* buf, int len) { int pos = 0; while (len) { int val = -1; - switch(*(buf+pos)) - { - case 'b': return true; // parseVcdDataNonDecimal(QByteArray(buf+pos+1,len-1),2); - case 'o': return true; //parseVcdDataNonDecimal(QByteArray(buf+pos+1,len-1),8); - case 'h': return true; // parseVcdDataNonDecimal(QByteArray(buf+pos+1,len-1),16); - case '$': + switch (*(buf + pos)) { - QByteArray testKeyword = QByteArray(buf+pos+1,len-1); - if (testKeyword.startsWith("dumpvars") || testKeyword.startsWith("end")) + case 'b': + return true; // parseVcdDataNonDecimal(QByteArray(buf+pos+1,len-1),2); + case 'o': + return true; //parseVcdDataNonDecimal(QByteArray(buf+pos+1,len-1),8); + case 'h': + return true; // parseVcdDataNonDecimal(QByteArray(buf+pos+1,len-1),16); + case '$': { + QByteArray testKeyword = QByteArray(buf + pos + 1, len - 1); + if (testKeyword.startsWith("dumpvars") || testKeyword.startsWith("end")) + { + return true; + } + return false; + } + case '#': { + bool ok; + mTime = QByteArray(buf + pos + 1, len - 1).toULongLong(&ok); + Q_ASSERT(ok); return true; - return false; + } + case 'x': + val = -1; + break; + case 'z': + val = -2; + break; + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + val = *(buf + pos) - '0'; + break; + default: + qDebug() << "cannot parse dataline entries starting with" << *(buf + pos) << buf; + return false; } - case '#': + int p = pos + 1; + while (p < len && buf[p] > ' ') { - bool ok; - mTime = QByteArray(buf+pos+1,len-1).toULongLong(&ok); - Q_ASSERT(ok); - return true; - } - case 'x': - val = -1; - break; - case 'z': - val = -2; - break; - case '0': - case '1': - case '2': - case '3': - case '4': - case '5': - case '6': - case '7': - val = *(buf+pos)-'0'; - break; - default: - qDebug() << "cannot parse dataline entries starting with" << *(buf+pos) << buf; - return false; + ++p; } - int p = pos+1; - while (p' ') ++p; - Q_ASSERT(p > pos+1); + Q_ASSERT(p > pos + 1); int abbrevLen = p - pos - 1; - storeValue(val,QByteArray(buf+pos+1,abbrevLen)); + storeValue(val, QByteArray(buf + pos + 1, abbrevLen)); pos = p; - len -= (abbrevLen+1) ; - while (buf[pos]==' ' && len > 0) + len -= (abbrevLen + 1); + while (buf[pos] == ' ' && len > 0) { pos++; len--; @@ -226,50 +261,73 @@ namespace hal { void VcdSerializer::storeValue(int val, const QByteArray& abrev) { SaleaeOutputFile* sof = mSaleaeFiles.value(abrev); - if (!sof) return; - // Q_ASSERT(wd); - sof->writeTimeValue(mTime,val); + if (!sof) + { + return; + } + // Q_ASSERT(wd); + sof->writeTimeValue(mTime, val); } - bool VcdSerializer::parseCsvHeader(char *buf) + bool VcdSerializer::parseCsvHeader(char* buf) { - int icol = 0; + int icol = 0; char* pos = buf; bool loop = (*pos != 0); QString abbrev; while (loop) { QByteArray header; - while (*pos && *pos!=',' && *pos != '\n') header += *(pos++); + while (*pos && *pos != ',' && *pos != '\n') + { + header += *(pos++); + } loop = (*(pos++) == ','); if (!icol) { - if (mSaleae) abbrev = QString::fromUtf8(header); + if (mSaleae) + { + abbrev = QString::fromUtf8(header); + } } else { bool ok; - if (!mSaleae) abbrev = QString::number(icol); + if (!mSaleae) + { + abbrev = QString::number(icol); + } QString name; u32 id = header.trimmed().toUInt(&ok); + if (ok && id) + { name = QString("net[%1]").arg(id); + } else { - name = QString::fromUtf8(header.trimmed()); + name = QString::fromUtf8(header.trimmed()); int n = name.size() - 1; - if (n<2 || name.at(0) != '"' || name.at(n) != '"') return false; - name = name.mid(1,n-1); - id = 0; + if (n < 2 || name.at(0) != '"' || name.at(n) != '"') + { + return false; + } + name = name.mid(1, n - 1); + id = 0; } if (!name.isEmpty() || id) { - SaleaeOutputFile* sof = mSaleaeWriter->add_or_replace_waveform(name.toStdString(),0); - if (!sof) return false; - mSaleaeFiles.insert(abbrev,sof); + SaleaeOutputFile* sof = mSaleaeWriter->add_or_replace_waveform(name.toStdString(), id); + if (!sof) + { + return false; + } + mSaleaeFiles.insert(abbrev, sof); } else + { return false; + } } icol++; } @@ -277,7 +335,6 @@ namespace hal { return true; } - bool VcdSerializer::parseCsvDataline(char* buf, int dataLineIndex) { int icol = 0; @@ -287,62 +344,84 @@ namespace hal { while (loop) { QByteArray value; - while (*pos && *pos != ',' && *pos != '\n') value += *(pos++); + while (*pos && *pos != ',' && *pos != '\n') + { + value += *(pos++); + } loop = (*(pos++) == ','); if (!value.isEmpty()) { if (icol) { int ival = 0; - ok = true; - if (value.size()==1) + ok = true; + if (value.size() == 1) + { switch (value.at(0)) { - case '0': break; - case '1': - ival = 1; - break; - default: - ival = value.trimmed().toInt(&ok); - break; + case '0': + break; + case '1': + ival = 1; + break; + default: + ival = value.trimmed().toInt(&ok); + break; } + } else + { ival = value.trimmed().toInt(&ok); - if (!ok) return false; + } + if (!ok) + { + return false; + } bool wdInsert = false; if (icol >= mLastValue.size()) { - while (icol > mLastValue.size()) mLastValue.append(-99); + while (icol > mLastValue.size()) + { + mLastValue.append(-99); + } mLastValue.append(ival); wdInsert = true; } else if (mLastValue.at(icol) != ival) { mLastValue[icol] = ival; - wdInsert = true; + wdInsert = true; } if (wdInsert) { SaleaeOutputFile* sof = mSaleaeFiles.value(QString::number(icol)); - if (!sof) return false; - sof->writeTimeValue(mTime,ival); + if (!sof) + { + return false; + } + sof->writeTimeValue(mTime, ival); } } else { // time double tDouble = value.toDouble(&ok); - if (!ok) return false; - u64 tInt = (u64) floor ( tDouble * SaleaeParser::sTimeScaleFactor + 0.5); + if (!ok) + { + return false; + } + u64 tInt = (u64)floor(tDouble * SaleaeParser::sTimeScaleFactor + 0.5); if (!dataLineIndex) { mFirstTimestamp = tInt; - mTime = 0; + mTime = 0; } else + { mTime = tInt - mFirstTimestamp; + } } } icol++; @@ -355,7 +434,7 @@ namespace hal { mWorkdir = workdir.isEmpty() ? QDir::currentPath() : workdir; mLastValue.clear(); deleteFiles(); - mTime = 0; + mTime = 0; mSaleae = false; SaleaeParser::sTimeScaleFactor = timeScale; @@ -368,7 +447,7 @@ namespace hal { } createSaleaeDirectory(); - mSaleaeWriter = new SaleaeWriter(mSaleaeDirectoryFilename.toStdString()); + mSaleaeWriter = new SaleaeWriter(mSaleaeDirectoryFilename.toStdString()); bool retval = parseCsvInternal(ff, onlyNets); @@ -376,16 +455,25 @@ namespace hal { mSaleaeWriter = nullptr; mSaleaeFiles.clear(); - if (retval) emitImportDone(); + if (retval) + { + emitImportDone(); + } return retval; } void VcdSerializer::emitProgress(double step, double max) { NetlistSimulatorController* nsc = static_cast(parent()); - if (!nsc) return; - int percent = floor(step*100 / max + 0.5); - if (percent == mLastProgress) return; + if (!nsc) + { + return; + } + int percent = floor(step * 100 / max + 0.5); + if (percent == mLastProgress) + { + return; + } nsc->emitLoadProgress(percent); mLastProgress = percent; qApp->processEvents(); @@ -394,7 +482,10 @@ namespace hal { void VcdSerializer::emitImportDone() { NetlistSimulatorController* nsc = static_cast(parent()); - if (!nsc) return; + if (!nsc) + { + return; + } nsc->emitLoadProgress(-1); mLastProgress = -1; } @@ -406,51 +497,64 @@ namespace hal { mSaleaeDirectoryFilename = saleaeDir.absoluteFilePath("saleae.json"); } - bool VcdSerializer::parseCsvInternal(QFile& ff, const QList& onlyNets) + bool VcdSerializer::parseCsvInternal(QFile& ff, const QList& onlyNets) { QMap netNames; for (const Net* n : onlyNets) - netNames.insert(QString::fromStdString(n->get_name()),n); + { + netNames.insert(QString::fromStdString(n->get_name()), n); + } static const int bufsize = 4095; - char buf[bufsize+1]; + char buf[bufsize + 1]; - bool parseHeader = true; + bool parseHeader = true; int dataLineIndex = 0; - while(!ff.atEnd()) + while (!ff.atEnd()) { - int sizeRead = ff.readLine(buf,bufsize); + int sizeRead = ff.readLine(buf, bufsize); if (sizeRead >= bufsize) { if (mErrorCount[1]++ < maxErrorMessages) + { log_warning("waveform_viewer", "CSV line {} exceeds buffer size {}.", dataLineIndex, bufsize); + } return false; } if (sizeRead < 0) { if (mErrorCount[2]++ < maxErrorMessages) + { log_warning("waveform_viewer", "CSV parse error reading line {} from file '{}'.", dataLineIndex, ff.fileName().toStdString()); + } return false; } - if (!sizeRead) continue; + if (!sizeRead) + { + continue; + } if (parseHeader) { if (!parseCsvHeader(buf)) { if (mErrorCount[3]++ < maxErrorMessages) + { log_warning("waveform_viewer", "Cannot parse CSV header line '{}'.", buf); + } return false; } parseHeader = false; } else { - if (!parseCsvDataline(buf,dataLineIndex++)) + if (!parseCsvDataline(buf, dataLineIndex++)) { if (mErrorCount[4]++ < maxErrorMessages) + { log_warning("waveform_viewer", "Cannot parse CSV data line '{}'.", buf); + } return false; } } @@ -472,16 +576,19 @@ namespace hal { } createSaleaeDirectory(); - mSaleaeWriter = new SaleaeWriter(mSaleaeDirectoryFilename.toStdString()); + mSaleaeWriter = new SaleaeWriter(mSaleaeDirectoryFilename.toStdString()); - bool retval = parseVcdInternal(ff,onlyNets); + bool retval = parseVcdInternal(ff, onlyNets); delete mSaleaeWriter; mSaleaeWriter = nullptr; mSaleaeFiles.clear(); mAbbrevByName.clear(); - if (retval) emitImportDone(); + if (retval) + { + emitImportDone(); + } return retval; } @@ -491,68 +598,99 @@ namespace hal { QMap netNames; for (const Net* n : onlyNets) - netNames.insert(QString::fromStdString(n->get_name()),n); + { + netNames.insert(QString::fromStdString(n->get_name()), n); + } QRegularExpression reHead("\\$(\\w*) (.*)\\$end"); QRegularExpression reWire("wire\\s+(\\d+) ([^ ]+) (.*) $"); - quint64 fileSize = ff.size(); + quint64 fileSize = ff.size(); quint64 totalRead = 0; static const int bufsize = 4095; - char buf[bufsize+1]; + char buf[bufsize + 1]; int iline = 0; - while(!ff.atEnd()) + while (!ff.atEnd()) { - int sizeRead = ff.readLine(buf,bufsize); + int sizeRead = ff.readLine(buf, bufsize); ++iline; totalRead += sizeRead; - emitProgress(totalRead,fileSize); + emitProgress(totalRead, fileSize); if (sizeRead >= bufsize) { if (mErrorCount[5]++ < maxErrorMessages) + { log_warning("waveform_viewer", "VCD line {} exceeds buffer size {}.", iline, bufsize); + } return false; } if (sizeRead < 0) { if (mErrorCount[6]++ < maxErrorMessages) + { log_warning("waveform_viewer", "VCD parse error reading line {} from file '{}'.", iline, ff.fileName().toStdString()); + } return false; } - if (sizeRead > 0 && buf[sizeRead-1]=='\n') --sizeRead; - if (sizeRead > 0 && buf[sizeRead-1]=='\r') --sizeRead; - if (!sizeRead) continue; - + if (sizeRead > 0 && buf[sizeRead - 1] == '\n') + { + --sizeRead; + } + if (sizeRead > 0 && buf[sizeRead - 1] == '\r') + { + --sizeRead; + } + if (!sizeRead) + { + continue; + } if (parseHeader) { - QByteArray line(buf,sizeRead); + QByteArray line(buf, sizeRead); QRegularExpressionMatch mHead = reHead.match(line); if (mHead.hasMatch()) { if (mHead.captured(1) == "enddefinitions") + { parseHeader = false; + } else if (mHead.captured(1) == "var") { QRegularExpressionMatch mWire = reWire.match(mHead.captured(2)); bool ok; - QString wireName = mWire.captured(3); + QString wireName = mWire.captured(3).trimmed(); + wireName.remove(QChar('\\'), Qt::CaseInsensitive); + const Net* net = netNames.value(wireName); - if (!netNames.isEmpty() && !net) continue; // net not found in given name list + + if (!netNames.isEmpty() && !net) + { + continue; // net not found in given name list + } + if (mAbbrevByName.contains(wireName)) { if (mErrorCount[7]++ < maxErrorMessages) + { log_warning("waveform_viewer", "Waveform duplicate for '{}' in VCD file '{}'.", wireName.toStdString(), ff.fileName().toStdString()); + } continue; } QString wireAbbrev = mWire.captured(2); - mAbbrevByName.insert(wireName,wireAbbrev); - int wireBits = mWire.captured(1).toUInt(&ok); - if (!ok) wireBits = 1; - if (wireBits > 1) continue; // TODO : decision whether we will be able to handle VCD with more bits + mAbbrevByName.insert(wireName, wireAbbrev); + int wireBits = mWire.captured(1).toUInt(&ok); + if (!ok) + { + wireBits = 1; + } + if (wireBits > 1) + { + continue; // TODO : decision whether we will be able to handle VCD with more bits + } u32 netId = net ? net->get_id() : 0; @@ -561,22 +699,30 @@ namespace hal { { // output file already exists, need name entry sof = mSaleaeFiles.value(wireAbbrev); - if (sof) mSaleaeWriter->add_directory_entry(sof->index(), wireName.toStdString(), netId); + if (sof) + { + mSaleaeWriter->add_directory_entry(sof->index(), wireName.toStdString(), netId); + } } else { sof = mSaleaeWriter->add_or_replace_waveform(wireName.toStdString(), netId); - if (sof) mSaleaeFiles.insert(wireAbbrev,sof); + if (sof) + { + mSaleaeFiles.insert(wireAbbrev, sof); + } } } } } else { - if (!parseVcdDataline(buf,sizeRead)) + if (!parseVcdDataline(buf, sizeRead)) { if (mErrorCount[8]++ < maxErrorMessages) - log_warning("waveform_viewer", "Cannot parse VCD data line '{}'.", QByteArray(buf,sizeRead).data()); + { + log_warning("waveform_viewer", "Cannot parse VCD data line '{}'.", QByteArray(buf, sizeRead).data()); + } return false; } } @@ -584,47 +730,59 @@ namespace hal { return true; } - bool VcdSerializer::importSaleae(const QString& saleaeDirecotry, const std::unordered_map &lookupTable, const QString& workdir, u64 timeScale) + bool VcdSerializer::importSaleae(const QString& saleaeDirecotry, const std::unordered_map& lookupTable, const QString& workdir, u64 timeScale) { mWorkdir = workdir.isEmpty() ? QDir::currentPath() : workdir; qDebug() << "workdir" << mWorkdir; deleteFiles(); - mTime = 0; + mTime = 0; SaleaeParser::sTimeScaleFactor = timeScale; - int nstep = lookupTable.size() + 1; - int istep = 0; + int nstep = lookupTable.size() + 1; + int istep = 0; - emitProgress(istep++,nstep); + emitProgress(istep++, nstep); createSaleaeDirectory(); SaleaeDirectory sd(get_saleae_directory_filename()); SaleaeDirectoryStoreRequest save(&sd); QDir sourceDir(saleaeDirecotry); QDir targetDir(QFileInfo(mSaleaeDirectoryFilename).path()); - emitProgress(istep++,nstep); + emitProgress(istep++, nstep); for (auto it = lookupTable.begin(); it != lookupTable.end(); ++it) { Q_ASSERT(it->first); bool removeOldFile = false; - int inx = sd.get_datafile_index(it->first->get_name(),it->first->get_id()); + int inx = sd.get_datafile_index(it->first->get_name(), it->first->get_id()); if (inx < 0) + { // create new file in import direcotry inx = sd.get_next_available_index(); + } else + { removeOldFile = true; + } QString source = sourceDir.absoluteFilePath(QString("digital_%1.bin").arg(it->second)); QString target = targetDir.absoluteFilePath(QString("digital_%1.bin").arg(inx)); if (removeOldFile) + { QFile::remove(target); - if (!QFile::copy(source,target)) return false; + } + if (!QFile::copy(source, target)) + { + return false; + } SaleaeInputFile sif(target.toStdString()); - if (!sif.header()) return false; + if (!sif.header()) + { + return false; + } SaleaeDirectoryNetEntry sdne(it->first->get_name(), it->first->get_id()); - sdne.addIndex(SaleaeDirectoryFileIndex(inx,sif.header()->beginTime(),sif.header()->endTime(),sif.header()->numTransitions()+1)); + sdne.addIndex(SaleaeDirectoryFileIndex(inx, sif.header()->beginTime(), sif.header()->endTime(), sif.header()->numTransitions() + 1)); sd.add_or_replace_net(sdne); - emitProgress(istep++,nstep); + emitProgress(istep++, nstep); } emitImportDone(); return true; } -} +} // namespace hal diff --git a/plugins/verilog_parser/include/verilog_parser/verilog_parser.h b/plugins/verilog_parser/include/verilog_parser/verilog_parser.h index 66bf56d5ffd..9b8960b28a0 100644 --- a/plugins/verilog_parser/include/verilog_parser/verilog_parser.h +++ b/plugins/verilog_parser/include/verilog_parser/verilog_parser.h @@ -194,6 +194,9 @@ namespace hal std::unordered_map m_net_by_name; std::vector> m_nets_to_merge; + // parser settings + const std::string instance_name_seperator = "/"; + // parse HDL into intermediate format void tokenize(); Result parse_tokens(); diff --git a/plugins/verilog_parser/src/verilog_parser.cpp b/plugins/verilog_parser/src/verilog_parser.cpp index 216250f66e4..044d79c6b9a 100644 --- a/plugins/verilog_parser/src/verilog_parser.cpp +++ b/plugins/verilog_parser/src/verilog_parser.cpp @@ -1311,6 +1311,16 @@ namespace hal { continue; } + else if (slave_net == m_zero_net || slave_net == m_one_net) + { + auto* tmp_net = master_net; + master_net = slave_net; + slave_net = tmp_net; + + auto tmp_name = master; + master = slave; + slave = tmp_name; + } // merge sources if (slave_net->is_global_input_net()) @@ -1444,7 +1454,7 @@ namespace hal // add global GND gate if required by any instance if (m_netlist->get_gnd_gates().empty()) { - if (!m_zero_net->get_destinations().empty()) + if (m_zero_net->get_num_of_destinations() > 0) { GateType* gnd_type = m_gnd_gate_types.begin()->second; GatePin* output_pin = gnd_type->get_output_pins().front(); @@ -1470,7 +1480,7 @@ namespace hal // add global VCC gate if required by any instance if (m_netlist->get_vcc_gates().empty()) { - if (!m_one_net->get_destinations().empty()) + if (m_one_net->get_num_of_destinations() > 0) { GateType* vcc_type = m_vcc_gate_types.begin()->second; GatePin* output_pin = vcc_type->get_output_pins().front(); @@ -1641,18 +1651,11 @@ namespace hal { b = alias_it->second; } - else if (b == "'0'" || b == "'1'") - { - // '0' or '1' is assigned, make sure that '0' or '1' net does not get deleted by merging - const auto tmp = a; - a = b; - b = tmp; - } else if (b == "'Z'" || b == "'X'") { continue; } - else + else if (b != "'0'" && b != "'1'") { return ERR("could not create instance '" + instance_identifier + "' of type '" + instance_type + "': failed to find alias for net '" + b + "'"); } @@ -1924,8 +1927,6 @@ namespace hal {'Z', {BooleanFunction::Value::Z, BooleanFunction::Value::Z, BooleanFunction::Value::Z, BooleanFunction::Value::Z}}}; } // namespace - const std::string instance_name_seperator = "/"; - // generate a unique name for a gate/module instance std::string VerilogParser::get_unique_alias(const std::string& parent_name, const std::string& name, const std::unordered_map& name_occurences) const { diff --git a/plugins/verilog_parser/test/CMakeLists.txt b/plugins/verilog_parser/test/CMakeLists.txt index ddd52c58b4a..56e6212abbb 100644 --- a/plugins/verilog_parser/test/CMakeLists.txt +++ b/plugins/verilog_parser/test/CMakeLists.txt @@ -3,7 +3,7 @@ if(BUILD_TESTS) add_executable(runTest-verilog_parser verilog_parser.cpp) - target_link_libraries(runTest-verilog_parser verilog_parser pthread gtest hal::core hal::netlist test_utils) + target_link_libraries(runTest-verilog_parser verilog_parser gtest hal::core hal::netlist test_utils) add_test(runTest-verilog_parser ${CMAKE_BINARY_DIR}/bin/hal_plugins/runTest-verilog_parser --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) diff --git a/plugins/verilog_writer/src/verilog_writer.cpp b/plugins/verilog_writer/src/verilog_writer.cpp index 94a64df53ae..c87ec72b747 100644 --- a/plugins/verilog_writer/src/verilog_writer.cpp +++ b/plugins/verilog_writer/src/verilog_writer.cpp @@ -52,8 +52,6 @@ namespace hal assert(ordered_modules.back()->is_top_module() == true); } - // TODO take care of 1 and 0 nets (probably rework their handling within the core to supply a "is_gnd_net" and "is_vcc_net" function) - std::unordered_map module_aliases; std::unordered_map module_identifier_occurrences; for (Module* mod : ordered_modules) @@ -177,8 +175,21 @@ namespace hal if (aliases.find(net) == aliases.end()) { - aliases[net] = escape(get_unique_alias(identifier_occurrences, net->get_name())); - res_stream << " wire " << aliases.at(net) << ";" << std::endl; + auto net_alias = escape(get_unique_alias(identifier_occurrences, net->get_name())); + aliases[net] = net_alias; + + res_stream << " wire " << net_alias; + + if (net->is_vcc_net() && net->get_num_of_sources() == 0) + { + res_stream << " = 1'b1"; + } + else if (net->is_gnd_net() && net->get_num_of_sources() == 0) + { + res_stream << " = 1'b0"; + } + + res_stream << ";" << std::endl; } } @@ -367,7 +378,7 @@ namespace hal res_stream << " ." << escape(pin) << "("; if (nets.size() > 1) { - res_stream << "{"; + res_stream << "{" << std::endl << " "; } bool first_net = true; @@ -375,17 +386,14 @@ namespace hal { const Net* net = *it; - if (net != nullptr) + if (!first_net) { - if (first_net) - { - first_net = false; - } - else - { - res_stream << "," << std::endl; - } + res_stream << "," << std::endl << " "; + } + first_net = false; + if (net != nullptr) + { if (const auto alias_it = aliases.find(net); alias_it != aliases.end()) { res_stream << alias_it->second; @@ -398,13 +406,14 @@ namespace hal else { // unconnected pin of a group with at least one connection - res_stream << "1'bz"; + // res_stream << "1'bz"; + res_stream << "HAL_UNUSED_SIGNAL"; } } if (nets.size() > 1) { - res_stream << "}"; + res_stream << std::endl << " }"; } res_stream << ")"; diff --git a/plugins/verilog_writer/test/CMakeLists.txt b/plugins/verilog_writer/test/CMakeLists.txt index baf344513da..0606a7b2152 100644 --- a/plugins/verilog_writer/test/CMakeLists.txt +++ b/plugins/verilog_writer/test/CMakeLists.txt @@ -9,7 +9,7 @@ if(BUILD_TESTS AND ((PL_VERILOG_PARSER) OR BUILD_ALL_PLUGINS)) add_executable(runTest-verilog_writer verilog_writer.cpp) - target_link_libraries(runTest-verilog_writer verilog_writer verilog_parser pthread gtest hal::core hal::netlist test_utils) + target_link_libraries(runTest-verilog_writer verilog_writer verilog_parser gtest hal::core hal::netlist test_utils) add_test(runTest-verilog_writer ${CMAKE_BINARY_DIR}/bin/hal_plugins/runTest-verilog_writer --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) diff --git a/plugins/vhdl_parser/src/vhdl_parser.cpp b/plugins/vhdl_parser/src/vhdl_parser.cpp index 93e3ab452d5..6a50aca0606 100644 --- a/plugins/vhdl_parser/src/vhdl_parser.cpp +++ b/plugins/vhdl_parser/src/vhdl_parser.cpp @@ -340,7 +340,7 @@ namespace hal // add global GND gate if required by any instance if (m_netlist->get_gnd_gates().empty()) { - if (!m_zero_net->get_destinations().empty()) + if (m_zero_net->get_num_of_destinations() > 0) { GateType* gnd_type = m_gnd_gate_types.begin()->second; GatePin* output_pin = gnd_type->get_output_pins().front(); @@ -365,7 +365,7 @@ namespace hal // add global VCC gate if required by any instance if (m_netlist->get_vcc_gates().empty()) { - if (!m_one_net->get_destinations().empty()) + if (m_one_net->get_num_of_destinations() > 0) { GateType* vcc_type = m_vcc_gate_types.begin()->second; GatePin* output_pin = vcc_type->get_output_pins().front(); @@ -1510,6 +1510,16 @@ namespace hal { continue; } + else if (slave_net == m_zero_net || slave_net == m_one_net) + { + auto* tmp_net = master_net; + master_net = slave_net; + slave_net = tmp_net; + + auto tmp_name = master; + master = slave; + slave = tmp_name; + } // merge sources if (slave_net->is_global_input_net()) @@ -1621,7 +1631,7 @@ namespace hal // annotate all merged slave wire names as a JSON formatted list of list of strings // each net can span a tree of "consumed" slave wire names where the nth list represents all wire names that where merged at depth n ci_string merged_str = ""; - bool has_merged_nets = false; + bool has_merged_nets = false; for (const auto& vec : merged_slaves) { if (!vec.empty()) @@ -1634,7 +1644,7 @@ namespace hal { s += ci_string(", ") + vec.at(idx); } - + merged_str += "[" + s + "], "; } merged_str = merged_str.substr(0, merged_str.size() - 2); @@ -1710,7 +1720,7 @@ namespace hal // TODO check parent module assignments for port aliases - const std::string parent_name = parent == (nullptr) ? "" : parent->get_name(); + const std::string parent_name = parent == (nullptr) ? "" : parent->get_name(); instance_alias[instance_identifier] = get_unique_alias(core_strings::to(parent_name), instance_identifier, m_instance_name_occurrences); // create netlist module @@ -1816,18 +1826,11 @@ namespace hal { b = alias_it->second; } - else if (b == "'0'" || b == "'1'") - { - // '0' or '1' is assigned, make sure that '0' or '1' net does not get deleted by merging - const auto tmp = a; - a = b; - b = tmp; - } else if (b == "'Z'" || b == "'X'") { continue; } - else + else if (b != "'0'" && b != "'1'") { return ERR("could not create instance '" + core_strings::to(instance_identifier) + "' of type '" + core_strings::to(instance_type) + "': failed to find alias for net '" + core_strings::to(b) + "'"); diff --git a/plugins/vhdl_parser/test/CMakeLists.txt b/plugins/vhdl_parser/test/CMakeLists.txt index c1373ada5f6..67aebfcbe20 100644 --- a/plugins/vhdl_parser/test/CMakeLists.txt +++ b/plugins/vhdl_parser/test/CMakeLists.txt @@ -3,7 +3,7 @@ if(BUILD_TESTS) add_executable(runTest-vhdl_parser vhdl_parser.cpp) - target_link_libraries(runTest-vhdl_parser vhdl_parser pthread gtest hal::core hal::netlist test_utils) + target_link_libraries(runTest-vhdl_parser vhdl_parser gtest hal::core hal::netlist test_utils) add_test(runTest-vhdl_parser ${CMAKE_BINARY_DIR}/bin/hal_plugins/runTest-vhdl_parser --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) diff --git a/plugins/xilinx_toolbox/include/xilinx_toolbox/plugin_xilinx_toolbox.h b/plugins/xilinx_toolbox/include/xilinx_toolbox/plugin_xilinx_toolbox.h index 4c1b56a4552..24a433564ef 100644 --- a/plugins/xilinx_toolbox/include/xilinx_toolbox/plugin_xilinx_toolbox.h +++ b/plugins/xilinx_toolbox/include/xilinx_toolbox/plugin_xilinx_toolbox.h @@ -25,6 +25,14 @@ namespace hal */ static Result split_luts(Netlist* nl); + /** + * Removes all shift register primitives and replaces them with equivalent chains of singular flip flops. + * + * @param[in] nl - The netlist to operate on. + * @return The number of removed gates on success, an error otherwise. + */ + static Result split_shift_registers(Netlist* nl); + /** * Parses an .xdc file and extracts the position LOC and BEL data. * Afterwards translates the found LOC and BEL data into integer coordinates. diff --git a/plugins/xilinx_toolbox/python/python_bindings.cpp b/plugins/xilinx_toolbox/python/python_bindings.cpp index 50d43f2414e..8182f16361e 100644 --- a/plugins/xilinx_toolbox/python/python_bindings.cpp +++ b/plugins/xilinx_toolbox/python/python_bindings.cpp @@ -75,6 +75,29 @@ namespace hal :rtype: int or None )"); + py_xilinx_toolbox.def_static( + "split_shift_registers", + [](Netlist* nl) -> std::optional { + auto res = XilinxToolboxPlugin::split_shift_registers(nl); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("nl"), + R"( + Removes all shift register primitives and replaces them with equivalent chains of singular flip flops. + + :param hal_py.Netlist nl: The netlist to operate on. + :returns: The number of removed gates on success, None otherwise. + :rtype: int or None + )"); + py_xilinx_toolbox.def_static( "parse_xdc_file", [](Netlist* nl, const std::filesystem::path& xdc_file) -> std::optional { diff --git a/plugins/xilinx_toolbox/src/preprocessing.cpp b/plugins/xilinx_toolbox/src/preprocessing.cpp index 80d456229e8..1c018558f8d 100644 --- a/plugins/xilinx_toolbox/src/preprocessing.cpp +++ b/plugins/xilinx_toolbox/src/preprocessing.cpp @@ -1,3 +1,4 @@ +#include "hal_core/netlist/decorators/netlist_modification_decorator.h" #include "hal_core/netlist/gate.h" #include "hal_core/netlist/module.h" #include "hal_core/netlist/net.h" @@ -8,6 +9,169 @@ namespace hal { namespace XILINX_UNISIM { + Result split_shift_registers(Netlist* nl) + { + u32 deleted_gates = 0; + u32 new_gates = 0; + std::vector to_delete; + + GateType* ff_gt = nl->get_gate_library()->get_gate_type_by_name("FDE"); + if (ff_gt == nullptr) + { + return ERR("TODO"); + } + + // const auto gnd_net_res = NetlistModificationDecorator(*nl).create_gnd_net(); + // if (gnd_net_res.is_error()) + // { + // return ERR_APPEND(gnd_net_res.get_error(), "TODO"); + // } + // Net* gnd_net = gnd_net_res.get().front(); + + for (const auto& gate : nl->get_gates([](const auto& g) { return g->get_type()->get_name() == "SRL16E"; })) + { + auto select_pins = gate->get_type()->get_pins([](const auto& pg) { return (pg->get_direction() == PinDirection::input) && (pg->get_type() == PinType::select); }); + if (select_pins.size() != 4) + { + return ERR("TODO"); + } + std::sort(select_pins.begin(), select_pins.end(), [](const auto& p1, const auto& p2) { + const u32 idx1 = std::stoull(p1->get_name().substr(1)); + const u32 idx2 = std::stoull(p2->get_name().substr(1)); + + return idx1 < idx2; + }); + + u32 select_value = 0; + for (u32 idx = 0; idx < select_pins.size(); idx++) + { + const Net* sn = gate->get_fan_in_net(select_pins.at(idx)); + + if (sn == nullptr) + { + log_warning("TODO"); + continue; + } + + if (!sn->is_gnd_net() && !sn->is_vcc_net()) + { + log_warning("TODO"); + continue; + } + + select_value += (sn->is_gnd_net() ? 0 : 1) << idx; + } + + // std::cout << "Found select pins: " << std::endl; + // for (const auto& sp : select_pins) + // { + // std::cout << sp->get_name() << std::endl; + // } + // std::cout << "Select Value: " << select_value << std::endl; + + const auto clock_pins = gate->get_type()->get_pins([](const auto& p) { return (p->get_direction() == PinDirection::input) && (p->get_type() == PinType::clock); }); + if (clock_pins.size() != 1) + { + return ERR("TODO"); + } + + const auto enable_pins = gate->get_type()->get_pins([](const auto& p) { return (p->get_direction() == PinDirection::input) && (p->get_type() == PinType::enable); }); + if (enable_pins.size() != 1) + { + return ERR("TODO"); + } + + const auto data_pins = gate->get_type()->get_pins([](const auto& p) { return (p->get_direction() == PinDirection::input) && (p->get_type() == PinType::data); }); + if (data_pins.size() != 1) + { + return ERR("TODO"); + } + + const auto state_pins = gate->get_type()->get_pins([](const auto& p) { return (p->get_direction() == PinDirection::output) && (p->get_type() == PinType::state); }); + if (state_pins.size() != 1) + { + return ERR("TODO"); + } + + Net* clk_in = gate->get_fan_in_net(clock_pins.front()); + Net* enable_in = gate->get_fan_in_net(enable_pins.front()); + Net* data_in = gate->get_fan_in_net(data_pins.front()); + Net* state_out = gate->get_fan_out_net(state_pins.front()); + + if (clk_in == nullptr) + { + return ERR("TODO"); + } + + if (enable_in == nullptr) + { + return ERR("TODO"); + } + + if (data_in == nullptr) + { + return ERR("TODO"); + } + + if (state_out == nullptr) + { + return ERR("TODO"); + } + + std::vector flip_flops; + std::vector state_nets; + + for (u32 ff_idx = 0; ff_idx <= select_value; ff_idx++) + { + const std::string ff_name = gate->get_name() + "_split_ff_" + std::to_string(ff_idx); + Gate* new_gate = nl->create_gate(ff_gt, ff_name); + new_gates++; + + clk_in->add_destination(new_gate, "C"); + enable_in->add_destination(new_gate, "CE"); + + if (ff_idx == 0) + { + data_in->add_destination(new_gate, "D"); + } + else + { + state_nets.back()->add_destination(new_gate, "D"); + } + + if (ff_idx == select_value) + { + state_out->add_source(new_gate, "Q"); + state_nets.push_back(state_out); + } + else + { + Net* new_net = nl->create_net(ff_name + "_out"); + new_net->add_source(new_gate, "Q"); + state_nets.push_back(new_net); + } + } + + to_delete.push_back(gate); + } + + for (const auto& g : to_delete) + { + if (!nl->delete_gate(g)) + { + return ERR("Cannot split shift register primitives for netlist with ID " + std::to_string(nl->get_id()) + ": Failed to delete gate " + g->get_name() + " with ID " + + std::to_string(g->get_id())); + } + else + { + deleted_gates++; + } + } + + log_info("xilinx_toolbox", "Split up {} SRLE16 into {} flip flops", deleted_gates, new_gates); + return OK(deleted_gates); + } + Result split_luts(Netlist* nl) { u32 deleted_gates = 0; @@ -23,7 +187,6 @@ namespace hal { auto* o5 = g->get_fan_out_net("O5"); auto* o6 = g->get_fan_out_net("O6"); - const auto* i5 = g->get_fan_in_net("I5"); const auto init_get_res = g->get_init_data(); if (init_get_res.is_error()) @@ -112,7 +275,7 @@ namespace hal Result XilinxToolboxPlugin::split_luts(Netlist* nl) { - std::map(Netlist*)>> gate_lib_to_func = {{"XILINX_UNISIM", XILINX_UNISIM::split_luts}}; + std::map(Netlist*)>> gate_lib_to_func = {{"XILINX_UNISIM", XILINX_UNISIM::split_luts}, {"XILINX_UNISIM_WITH_HAL_TYPES", XILINX_UNISIM::split_luts}}; if (gate_lib_to_func.find(nl->get_gate_library()->get_name()) == gate_lib_to_func.end()) { @@ -122,4 +285,17 @@ namespace hal return gate_lib_to_func.at(nl->get_gate_library()->get_name())(nl); } + Result XilinxToolboxPlugin::split_shift_registers(Netlist* nl) + { + std::map(Netlist*)>> gate_lib_to_func = {{"XILINX_UNISIM", XILINX_UNISIM::split_shift_registers}, + {"XILINX_UNISIM_WITH_HAL_TYPES", XILINX_UNISIM::split_shift_registers}}; + + if (gate_lib_to_func.find(nl->get_gate_library()->get_name()) == gate_lib_to_func.end()) + { + return ERR("Cannot split shift registers for netlist with ID " + std::to_string(nl->get_id()) + ": Gate library " + nl->get_gate_library()->get_name() + " not supported"); + } + + return gate_lib_to_func.at(nl->get_gate_library()->get_name())(nl); + } + } // namespace hal \ No newline at end of file diff --git a/plugins/z3_utils/include/utils/json.hpp b/plugins/z3_utils/include/utils/json.hpp new file mode 100644 index 00000000000..fbb55ef4a7d --- /dev/null +++ b/plugins/z3_utils/include/utils/json.hpp @@ -0,0 +1,22111 @@ +/* + __ _____ _____ _____ + __| | __| | | | JSON for Modern C++ +| | |__ | | | | | | version 3.10.5 +|_____|_____|_____|_|___| https://github.com/nlohmann/json + +Licensed under the MIT License . +SPDX-License-Identifier: MIT +Copyright (c) 2013-2022 Niels Lohmann . + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all +copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +SOFTWARE. +*/ + +/****************************************************************************\ + * Note on documentation: The source files contain links to the online * + * documentation of the public API at https://json.nlohmann.me. This URL * + * contains the most recent documentation and should also be applicable to * + * previous versions; documentation for deprecated functions is not * + * removed, but marked deprecated. See "Generate documentation" section in * + * file doc/README.md. * +\****************************************************************************/ + +#ifndef INCLUDE_NLOHMANN_JSON_HPP_ +#define INCLUDE_NLOHMANN_JSON_HPP_ + +#define NLOHMANN_JSON_VERSION_MAJOR 3 +#define NLOHMANN_JSON_VERSION_MINOR 10 +#define NLOHMANN_JSON_VERSION_PATCH 5 + +#include // all_of, find, for_each +#include // nullptr_t, ptrdiff_t, size_t +#include // hash, less +#include // initializer_list +#ifndef JSON_NO_IO + #include // istream, ostream +#endif // JSON_NO_IO +#include // random_access_iterator_tag +#include // unique_ptr +#include // accumulate +#include // string, stoi, to_string +#include // declval, forward, move, pair, swap +#include // vector + +// #include + + +#include +#include + +// #include + + +#include // transform +#include // array +#include // forward_list +#include // inserter, front_inserter, end +#include // map +#include // string +#include // tuple, make_tuple +#include // is_arithmetic, is_same, is_enum, underlying_type, is_convertible +#include // unordered_map +#include // pair, declval +#include // valarray + +// #include + + +#include // exception +#include // runtime_error +#include // to_string +#include // vector + +// #include + + +#include // array +#include // size_t +#include // uint8_t +#include // string + +namespace nlohmann +{ +namespace detail +{ +/////////////////////////// +// JSON type enumeration // +/////////////////////////// + +/*! +@brief the JSON type enumeration + +This enumeration collects the different JSON types. It is internally used to +distinguish the stored values, and the functions @ref basic_json::is_null(), +@ref basic_json::is_object(), @ref basic_json::is_array(), +@ref basic_json::is_string(), @ref basic_json::is_boolean(), +@ref basic_json::is_number() (with @ref basic_json::is_number_integer(), +@ref basic_json::is_number_unsigned(), and @ref basic_json::is_number_float()), +@ref basic_json::is_discarded(), @ref basic_json::is_primitive(), and +@ref basic_json::is_structured() rely on it. + +@note There are three enumeration entries (number_integer, number_unsigned, and +number_float), because the library distinguishes these three types for numbers: +@ref basic_json::number_unsigned_t is used for unsigned integers, +@ref basic_json::number_integer_t is used for signed integers, and +@ref basic_json::number_float_t is used for floating-point numbers or to +approximate integers which do not fit in the limits of their respective type. + +@sa see @ref basic_json::basic_json(const value_t value_type) -- create a JSON +value with the default value for a given type + +@since version 1.0.0 +*/ +enum class value_t : std::uint8_t +{ + null, ///< null value + object, ///< object (unordered set of name/value pairs) + array, ///< array (ordered collection of values) + string, ///< string value + boolean, ///< boolean value + number_integer, ///< number value (signed integer) + number_unsigned, ///< number value (unsigned integer) + number_float, ///< number value (floating-point) + binary, ///< binary array (ordered collection of bytes) + discarded ///< discarded by the parser callback function +}; + +/*! +@brief comparison operator for JSON types + +Returns an ordering that is similar to Python: +- order: null < boolean < number < object < array < string < binary +- furthermore, each type is not smaller than itself +- discarded values are not comparable +- binary is represented as a b"" string in python and directly comparable to a + string; however, making a binary array directly comparable with a string would + be surprising behavior in a JSON file. + +@since version 1.0.0 +*/ +inline bool operator<(const value_t lhs, const value_t rhs) noexcept +{ + static constexpr std::array order = {{ + 0 /* null */, 3 /* object */, 4 /* array */, 5 /* string */, + 1 /* boolean */, 2 /* integer */, 2 /* unsigned */, 2 /* float */, + 6 /* binary */ + } + }; + + const auto l_index = static_cast(lhs); + const auto r_index = static_cast(rhs); + return l_index < order.size() && r_index < order.size() && order[l_index] < order[r_index]; +} +} // namespace detail +} // namespace nlohmann + +// #include + + +#include +// #include + + +#include // declval, pair +// #include + + +/* Hedley - https://nemequ.github.io/hedley + * Created by Evan Nemerson + * + * To the extent possible under law, the author(s) have dedicated all + * copyright and related and neighboring rights to this software to + * the public domain worldwide. This software is distributed without + * any warranty. + * + * For details, see . + * SPDX-License-Identifier: CC0-1.0 + */ + +#if !defined(JSON_HEDLEY_VERSION) || (JSON_HEDLEY_VERSION < 15) +#if defined(JSON_HEDLEY_VERSION) + #undef JSON_HEDLEY_VERSION +#endif +#define JSON_HEDLEY_VERSION 15 + +#if defined(JSON_HEDLEY_STRINGIFY_EX) + #undef JSON_HEDLEY_STRINGIFY_EX +#endif +#define JSON_HEDLEY_STRINGIFY_EX(x) #x + +#if defined(JSON_HEDLEY_STRINGIFY) + #undef JSON_HEDLEY_STRINGIFY +#endif +#define JSON_HEDLEY_STRINGIFY(x) JSON_HEDLEY_STRINGIFY_EX(x) + +#if defined(JSON_HEDLEY_CONCAT_EX) + #undef JSON_HEDLEY_CONCAT_EX +#endif +#define JSON_HEDLEY_CONCAT_EX(a,b) a##b + +#if defined(JSON_HEDLEY_CONCAT) + #undef JSON_HEDLEY_CONCAT +#endif +#define JSON_HEDLEY_CONCAT(a,b) JSON_HEDLEY_CONCAT_EX(a,b) + +#if defined(JSON_HEDLEY_CONCAT3_EX) + #undef JSON_HEDLEY_CONCAT3_EX +#endif +#define JSON_HEDLEY_CONCAT3_EX(a,b,c) a##b##c + +#if defined(JSON_HEDLEY_CONCAT3) + #undef JSON_HEDLEY_CONCAT3 +#endif +#define JSON_HEDLEY_CONCAT3(a,b,c) JSON_HEDLEY_CONCAT3_EX(a,b,c) + +#if defined(JSON_HEDLEY_VERSION_ENCODE) + #undef JSON_HEDLEY_VERSION_ENCODE +#endif +#define JSON_HEDLEY_VERSION_ENCODE(major,minor,revision) (((major) * 1000000) + ((minor) * 1000) + (revision)) + +#if defined(JSON_HEDLEY_VERSION_DECODE_MAJOR) + #undef JSON_HEDLEY_VERSION_DECODE_MAJOR +#endif +#define JSON_HEDLEY_VERSION_DECODE_MAJOR(version) ((version) / 1000000) + +#if defined(JSON_HEDLEY_VERSION_DECODE_MINOR) + #undef JSON_HEDLEY_VERSION_DECODE_MINOR +#endif +#define JSON_HEDLEY_VERSION_DECODE_MINOR(version) (((version) % 1000000) / 1000) + +#if defined(JSON_HEDLEY_VERSION_DECODE_REVISION) + #undef JSON_HEDLEY_VERSION_DECODE_REVISION +#endif +#define JSON_HEDLEY_VERSION_DECODE_REVISION(version) ((version) % 1000) + +#if defined(JSON_HEDLEY_GNUC_VERSION) + #undef JSON_HEDLEY_GNUC_VERSION +#endif +#if defined(__GNUC__) && defined(__GNUC_PATCHLEVEL__) + #define JSON_HEDLEY_GNUC_VERSION JSON_HEDLEY_VERSION_ENCODE(__GNUC__, __GNUC_MINOR__, __GNUC_PATCHLEVEL__) +#elif defined(__GNUC__) + #define JSON_HEDLEY_GNUC_VERSION JSON_HEDLEY_VERSION_ENCODE(__GNUC__, __GNUC_MINOR__, 0) +#endif + +#if defined(JSON_HEDLEY_GNUC_VERSION_CHECK) + #undef JSON_HEDLEY_GNUC_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_GNUC_VERSION) + #define JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_GNUC_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_MSVC_VERSION) + #undef JSON_HEDLEY_MSVC_VERSION +#endif +#if defined(_MSC_FULL_VER) && (_MSC_FULL_VER >= 140000000) && !defined(__ICL) + #define JSON_HEDLEY_MSVC_VERSION JSON_HEDLEY_VERSION_ENCODE(_MSC_FULL_VER / 10000000, (_MSC_FULL_VER % 10000000) / 100000, (_MSC_FULL_VER % 100000) / 100) +#elif defined(_MSC_FULL_VER) && !defined(__ICL) + #define JSON_HEDLEY_MSVC_VERSION JSON_HEDLEY_VERSION_ENCODE(_MSC_FULL_VER / 1000000, (_MSC_FULL_VER % 1000000) / 10000, (_MSC_FULL_VER % 10000) / 10) +#elif defined(_MSC_VER) && !defined(__ICL) + #define JSON_HEDLEY_MSVC_VERSION JSON_HEDLEY_VERSION_ENCODE(_MSC_VER / 100, _MSC_VER % 100, 0) +#endif + +#if defined(JSON_HEDLEY_MSVC_VERSION_CHECK) + #undef JSON_HEDLEY_MSVC_VERSION_CHECK +#endif +#if !defined(JSON_HEDLEY_MSVC_VERSION) + #define JSON_HEDLEY_MSVC_VERSION_CHECK(major,minor,patch) (0) +#elif defined(_MSC_VER) && (_MSC_VER >= 1400) + #define JSON_HEDLEY_MSVC_VERSION_CHECK(major,minor,patch) (_MSC_FULL_VER >= ((major * 10000000) + (minor * 100000) + (patch))) +#elif defined(_MSC_VER) && (_MSC_VER >= 1200) + #define JSON_HEDLEY_MSVC_VERSION_CHECK(major,minor,patch) (_MSC_FULL_VER >= ((major * 1000000) + (minor * 10000) + (patch))) +#else + #define JSON_HEDLEY_MSVC_VERSION_CHECK(major,minor,patch) (_MSC_VER >= ((major * 100) + (minor))) +#endif + +#if defined(JSON_HEDLEY_INTEL_VERSION) + #undef JSON_HEDLEY_INTEL_VERSION +#endif +#if defined(__INTEL_COMPILER) && defined(__INTEL_COMPILER_UPDATE) && !defined(__ICL) + #define JSON_HEDLEY_INTEL_VERSION JSON_HEDLEY_VERSION_ENCODE(__INTEL_COMPILER / 100, __INTEL_COMPILER % 100, __INTEL_COMPILER_UPDATE) +#elif defined(__INTEL_COMPILER) && !defined(__ICL) + #define JSON_HEDLEY_INTEL_VERSION JSON_HEDLEY_VERSION_ENCODE(__INTEL_COMPILER / 100, __INTEL_COMPILER % 100, 0) +#endif + +#if defined(JSON_HEDLEY_INTEL_VERSION_CHECK) + #undef JSON_HEDLEY_INTEL_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_INTEL_VERSION) + #define JSON_HEDLEY_INTEL_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_INTEL_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_INTEL_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_INTEL_CL_VERSION) + #undef JSON_HEDLEY_INTEL_CL_VERSION +#endif +#if defined(__INTEL_COMPILER) && defined(__INTEL_COMPILER_UPDATE) && defined(__ICL) + #define JSON_HEDLEY_INTEL_CL_VERSION JSON_HEDLEY_VERSION_ENCODE(__INTEL_COMPILER, __INTEL_COMPILER_UPDATE, 0) +#endif + +#if defined(JSON_HEDLEY_INTEL_CL_VERSION_CHECK) + #undef JSON_HEDLEY_INTEL_CL_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_INTEL_CL_VERSION) + #define JSON_HEDLEY_INTEL_CL_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_INTEL_CL_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_INTEL_CL_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_PGI_VERSION) + #undef JSON_HEDLEY_PGI_VERSION +#endif +#if defined(__PGI) && defined(__PGIC__) && defined(__PGIC_MINOR__) && defined(__PGIC_PATCHLEVEL__) + #define JSON_HEDLEY_PGI_VERSION JSON_HEDLEY_VERSION_ENCODE(__PGIC__, __PGIC_MINOR__, __PGIC_PATCHLEVEL__) +#endif + +#if defined(JSON_HEDLEY_PGI_VERSION_CHECK) + #undef JSON_HEDLEY_PGI_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_PGI_VERSION) + #define JSON_HEDLEY_PGI_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_PGI_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_PGI_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_SUNPRO_VERSION) + #undef JSON_HEDLEY_SUNPRO_VERSION +#endif +#if defined(__SUNPRO_C) && (__SUNPRO_C > 0x1000) + #define JSON_HEDLEY_SUNPRO_VERSION JSON_HEDLEY_VERSION_ENCODE((((__SUNPRO_C >> 16) & 0xf) * 10) + ((__SUNPRO_C >> 12) & 0xf), (((__SUNPRO_C >> 8) & 0xf) * 10) + ((__SUNPRO_C >> 4) & 0xf), (__SUNPRO_C & 0xf) * 10) +#elif defined(__SUNPRO_C) + #define JSON_HEDLEY_SUNPRO_VERSION JSON_HEDLEY_VERSION_ENCODE((__SUNPRO_C >> 8) & 0xf, (__SUNPRO_C >> 4) & 0xf, (__SUNPRO_C) & 0xf) +#elif defined(__SUNPRO_CC) && (__SUNPRO_CC > 0x1000) + #define JSON_HEDLEY_SUNPRO_VERSION JSON_HEDLEY_VERSION_ENCODE((((__SUNPRO_CC >> 16) & 0xf) * 10) + ((__SUNPRO_CC >> 12) & 0xf), (((__SUNPRO_CC >> 8) & 0xf) * 10) + ((__SUNPRO_CC >> 4) & 0xf), (__SUNPRO_CC & 0xf) * 10) +#elif defined(__SUNPRO_CC) + #define JSON_HEDLEY_SUNPRO_VERSION JSON_HEDLEY_VERSION_ENCODE((__SUNPRO_CC >> 8) & 0xf, (__SUNPRO_CC >> 4) & 0xf, (__SUNPRO_CC) & 0xf) +#endif + +#if defined(JSON_HEDLEY_SUNPRO_VERSION_CHECK) + #undef JSON_HEDLEY_SUNPRO_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_SUNPRO_VERSION) + #define JSON_HEDLEY_SUNPRO_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_SUNPRO_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_SUNPRO_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_EMSCRIPTEN_VERSION) + #undef JSON_HEDLEY_EMSCRIPTEN_VERSION +#endif +#if defined(__EMSCRIPTEN__) + #define JSON_HEDLEY_EMSCRIPTEN_VERSION JSON_HEDLEY_VERSION_ENCODE(__EMSCRIPTEN_major__, __EMSCRIPTEN_minor__, __EMSCRIPTEN_tiny__) +#endif + +#if defined(JSON_HEDLEY_EMSCRIPTEN_VERSION_CHECK) + #undef JSON_HEDLEY_EMSCRIPTEN_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_EMSCRIPTEN_VERSION) + #define JSON_HEDLEY_EMSCRIPTEN_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_EMSCRIPTEN_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_EMSCRIPTEN_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_ARM_VERSION) + #undef JSON_HEDLEY_ARM_VERSION +#endif +#if defined(__CC_ARM) && defined(__ARMCOMPILER_VERSION) + #define JSON_HEDLEY_ARM_VERSION JSON_HEDLEY_VERSION_ENCODE(__ARMCOMPILER_VERSION / 1000000, (__ARMCOMPILER_VERSION % 1000000) / 10000, (__ARMCOMPILER_VERSION % 10000) / 100) +#elif defined(__CC_ARM) && defined(__ARMCC_VERSION) + #define JSON_HEDLEY_ARM_VERSION JSON_HEDLEY_VERSION_ENCODE(__ARMCC_VERSION / 1000000, (__ARMCC_VERSION % 1000000) / 10000, (__ARMCC_VERSION % 10000) / 100) +#endif + +#if defined(JSON_HEDLEY_ARM_VERSION_CHECK) + #undef JSON_HEDLEY_ARM_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_ARM_VERSION) + #define JSON_HEDLEY_ARM_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_ARM_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_ARM_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_IBM_VERSION) + #undef JSON_HEDLEY_IBM_VERSION +#endif +#if defined(__ibmxl__) + #define JSON_HEDLEY_IBM_VERSION JSON_HEDLEY_VERSION_ENCODE(__ibmxl_version__, __ibmxl_release__, __ibmxl_modification__) +#elif defined(__xlC__) && defined(__xlC_ver__) + #define JSON_HEDLEY_IBM_VERSION JSON_HEDLEY_VERSION_ENCODE(__xlC__ >> 8, __xlC__ & 0xff, (__xlC_ver__ >> 8) & 0xff) +#elif defined(__xlC__) + #define JSON_HEDLEY_IBM_VERSION JSON_HEDLEY_VERSION_ENCODE(__xlC__ >> 8, __xlC__ & 0xff, 0) +#endif + +#if defined(JSON_HEDLEY_IBM_VERSION_CHECK) + #undef JSON_HEDLEY_IBM_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_IBM_VERSION) + #define JSON_HEDLEY_IBM_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_IBM_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_IBM_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_VERSION) + #undef JSON_HEDLEY_TI_VERSION +#endif +#if \ + defined(__TI_COMPILER_VERSION__) && \ + ( \ + defined(__TMS470__) || defined(__TI_ARM__) || \ + defined(__MSP430__) || \ + defined(__TMS320C2000__) \ + ) +#if (__TI_COMPILER_VERSION__ >= 16000000) + #define JSON_HEDLEY_TI_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif +#endif + +#if defined(JSON_HEDLEY_TI_VERSION_CHECK) + #undef JSON_HEDLEY_TI_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_VERSION) + #define JSON_HEDLEY_TI_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_CL2000_VERSION) + #undef JSON_HEDLEY_TI_CL2000_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && defined(__TMS320C2000__) + #define JSON_HEDLEY_TI_CL2000_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_CL2000_VERSION_CHECK) + #undef JSON_HEDLEY_TI_CL2000_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_CL2000_VERSION) + #define JSON_HEDLEY_TI_CL2000_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_CL2000_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_CL2000_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_CL430_VERSION) + #undef JSON_HEDLEY_TI_CL430_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && defined(__MSP430__) + #define JSON_HEDLEY_TI_CL430_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_CL430_VERSION_CHECK) + #undef JSON_HEDLEY_TI_CL430_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_CL430_VERSION) + #define JSON_HEDLEY_TI_CL430_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_CL430_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_CL430_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_ARMCL_VERSION) + #undef JSON_HEDLEY_TI_ARMCL_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && (defined(__TMS470__) || defined(__TI_ARM__)) + #define JSON_HEDLEY_TI_ARMCL_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_ARMCL_VERSION_CHECK) + #undef JSON_HEDLEY_TI_ARMCL_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_ARMCL_VERSION) + #define JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_ARMCL_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_CL6X_VERSION) + #undef JSON_HEDLEY_TI_CL6X_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && defined(__TMS320C6X__) + #define JSON_HEDLEY_TI_CL6X_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_CL6X_VERSION_CHECK) + #undef JSON_HEDLEY_TI_CL6X_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_CL6X_VERSION) + #define JSON_HEDLEY_TI_CL6X_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_CL6X_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_CL6X_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_CL7X_VERSION) + #undef JSON_HEDLEY_TI_CL7X_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && defined(__C7000__) + #define JSON_HEDLEY_TI_CL7X_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_CL7X_VERSION_CHECK) + #undef JSON_HEDLEY_TI_CL7X_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_CL7X_VERSION) + #define JSON_HEDLEY_TI_CL7X_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_CL7X_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_CL7X_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TI_CLPRU_VERSION) + #undef JSON_HEDLEY_TI_CLPRU_VERSION +#endif +#if defined(__TI_COMPILER_VERSION__) && defined(__PRU__) + #define JSON_HEDLEY_TI_CLPRU_VERSION JSON_HEDLEY_VERSION_ENCODE(__TI_COMPILER_VERSION__ / 1000000, (__TI_COMPILER_VERSION__ % 1000000) / 1000, (__TI_COMPILER_VERSION__ % 1000)) +#endif + +#if defined(JSON_HEDLEY_TI_CLPRU_VERSION_CHECK) + #undef JSON_HEDLEY_TI_CLPRU_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TI_CLPRU_VERSION) + #define JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TI_CLPRU_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_CRAY_VERSION) + #undef JSON_HEDLEY_CRAY_VERSION +#endif +#if defined(_CRAYC) + #if defined(_RELEASE_PATCHLEVEL) + #define JSON_HEDLEY_CRAY_VERSION JSON_HEDLEY_VERSION_ENCODE(_RELEASE_MAJOR, _RELEASE_MINOR, _RELEASE_PATCHLEVEL) + #else + #define JSON_HEDLEY_CRAY_VERSION JSON_HEDLEY_VERSION_ENCODE(_RELEASE_MAJOR, _RELEASE_MINOR, 0) + #endif +#endif + +#if defined(JSON_HEDLEY_CRAY_VERSION_CHECK) + #undef JSON_HEDLEY_CRAY_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_CRAY_VERSION) + #define JSON_HEDLEY_CRAY_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_CRAY_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_CRAY_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_IAR_VERSION) + #undef JSON_HEDLEY_IAR_VERSION +#endif +#if defined(__IAR_SYSTEMS_ICC__) + #if __VER__ > 1000 + #define JSON_HEDLEY_IAR_VERSION JSON_HEDLEY_VERSION_ENCODE((__VER__ / 1000000), ((__VER__ / 1000) % 1000), (__VER__ % 1000)) + #else + #define JSON_HEDLEY_IAR_VERSION JSON_HEDLEY_VERSION_ENCODE(__VER__ / 100, __VER__ % 100, 0) + #endif +#endif + +#if defined(JSON_HEDLEY_IAR_VERSION_CHECK) + #undef JSON_HEDLEY_IAR_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_IAR_VERSION) + #define JSON_HEDLEY_IAR_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_IAR_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_IAR_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_TINYC_VERSION) + #undef JSON_HEDLEY_TINYC_VERSION +#endif +#if defined(__TINYC__) + #define JSON_HEDLEY_TINYC_VERSION JSON_HEDLEY_VERSION_ENCODE(__TINYC__ / 1000, (__TINYC__ / 100) % 10, __TINYC__ % 100) +#endif + +#if defined(JSON_HEDLEY_TINYC_VERSION_CHECK) + #undef JSON_HEDLEY_TINYC_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_TINYC_VERSION) + #define JSON_HEDLEY_TINYC_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_TINYC_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_TINYC_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_DMC_VERSION) + #undef JSON_HEDLEY_DMC_VERSION +#endif +#if defined(__DMC__) + #define JSON_HEDLEY_DMC_VERSION JSON_HEDLEY_VERSION_ENCODE(__DMC__ >> 8, (__DMC__ >> 4) & 0xf, __DMC__ & 0xf) +#endif + +#if defined(JSON_HEDLEY_DMC_VERSION_CHECK) + #undef JSON_HEDLEY_DMC_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_DMC_VERSION) + #define JSON_HEDLEY_DMC_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_DMC_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_DMC_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_COMPCERT_VERSION) + #undef JSON_HEDLEY_COMPCERT_VERSION +#endif +#if defined(__COMPCERT_VERSION__) + #define JSON_HEDLEY_COMPCERT_VERSION JSON_HEDLEY_VERSION_ENCODE(__COMPCERT_VERSION__ / 10000, (__COMPCERT_VERSION__ / 100) % 100, __COMPCERT_VERSION__ % 100) +#endif + +#if defined(JSON_HEDLEY_COMPCERT_VERSION_CHECK) + #undef JSON_HEDLEY_COMPCERT_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_COMPCERT_VERSION) + #define JSON_HEDLEY_COMPCERT_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_COMPCERT_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_COMPCERT_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_PELLES_VERSION) + #undef JSON_HEDLEY_PELLES_VERSION +#endif +#if defined(__POCC__) + #define JSON_HEDLEY_PELLES_VERSION JSON_HEDLEY_VERSION_ENCODE(__POCC__ / 100, __POCC__ % 100, 0) +#endif + +#if defined(JSON_HEDLEY_PELLES_VERSION_CHECK) + #undef JSON_HEDLEY_PELLES_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_PELLES_VERSION) + #define JSON_HEDLEY_PELLES_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_PELLES_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_PELLES_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_MCST_LCC_VERSION) + #undef JSON_HEDLEY_MCST_LCC_VERSION +#endif +#if defined(__LCC__) && defined(__LCC_MINOR__) + #define JSON_HEDLEY_MCST_LCC_VERSION JSON_HEDLEY_VERSION_ENCODE(__LCC__ / 100, __LCC__ % 100, __LCC_MINOR__) +#endif + +#if defined(JSON_HEDLEY_MCST_LCC_VERSION_CHECK) + #undef JSON_HEDLEY_MCST_LCC_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_MCST_LCC_VERSION) + #define JSON_HEDLEY_MCST_LCC_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_MCST_LCC_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_MCST_LCC_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_GCC_VERSION) + #undef JSON_HEDLEY_GCC_VERSION +#endif +#if \ + defined(JSON_HEDLEY_GNUC_VERSION) && \ + !defined(__clang__) && \ + !defined(JSON_HEDLEY_INTEL_VERSION) && \ + !defined(JSON_HEDLEY_PGI_VERSION) && \ + !defined(JSON_HEDLEY_ARM_VERSION) && \ + !defined(JSON_HEDLEY_CRAY_VERSION) && \ + !defined(JSON_HEDLEY_TI_VERSION) && \ + !defined(JSON_HEDLEY_TI_ARMCL_VERSION) && \ + !defined(JSON_HEDLEY_TI_CL430_VERSION) && \ + !defined(JSON_HEDLEY_TI_CL2000_VERSION) && \ + !defined(JSON_HEDLEY_TI_CL6X_VERSION) && \ + !defined(JSON_HEDLEY_TI_CL7X_VERSION) && \ + !defined(JSON_HEDLEY_TI_CLPRU_VERSION) && \ + !defined(__COMPCERT__) && \ + !defined(JSON_HEDLEY_MCST_LCC_VERSION) + #define JSON_HEDLEY_GCC_VERSION JSON_HEDLEY_GNUC_VERSION +#endif + +#if defined(JSON_HEDLEY_GCC_VERSION_CHECK) + #undef JSON_HEDLEY_GCC_VERSION_CHECK +#endif +#if defined(JSON_HEDLEY_GCC_VERSION) + #define JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) (JSON_HEDLEY_GCC_VERSION >= JSON_HEDLEY_VERSION_ENCODE(major, minor, patch)) +#else + #define JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) (0) +#endif + +#if defined(JSON_HEDLEY_HAS_ATTRIBUTE) + #undef JSON_HEDLEY_HAS_ATTRIBUTE +#endif +#if \ + defined(__has_attribute) && \ + ( \ + (!defined(JSON_HEDLEY_IAR_VERSION) || JSON_HEDLEY_IAR_VERSION_CHECK(8,5,9)) \ + ) +# define JSON_HEDLEY_HAS_ATTRIBUTE(attribute) __has_attribute(attribute) +#else +# define JSON_HEDLEY_HAS_ATTRIBUTE(attribute) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_ATTRIBUTE) + #undef JSON_HEDLEY_GNUC_HAS_ATTRIBUTE +#endif +#if defined(__has_attribute) + #define JSON_HEDLEY_GNUC_HAS_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_HAS_ATTRIBUTE(attribute) +#else + #define JSON_HEDLEY_GNUC_HAS_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_ATTRIBUTE) + #undef JSON_HEDLEY_GCC_HAS_ATTRIBUTE +#endif +#if defined(__has_attribute) + #define JSON_HEDLEY_GCC_HAS_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_HAS_ATTRIBUTE(attribute) +#else + #define JSON_HEDLEY_GCC_HAS_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_CPP_ATTRIBUTE) + #undef JSON_HEDLEY_HAS_CPP_ATTRIBUTE +#endif +#if \ + defined(__has_cpp_attribute) && \ + defined(__cplusplus) && \ + (!defined(JSON_HEDLEY_SUNPRO_VERSION) || JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,15,0)) + #define JSON_HEDLEY_HAS_CPP_ATTRIBUTE(attribute) __has_cpp_attribute(attribute) +#else + #define JSON_HEDLEY_HAS_CPP_ATTRIBUTE(attribute) (0) +#endif + +#if defined(JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS) + #undef JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS +#endif +#if !defined(__cplusplus) || !defined(__has_cpp_attribute) + #define JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS(ns,attribute) (0) +#elif \ + !defined(JSON_HEDLEY_PGI_VERSION) && \ + !defined(JSON_HEDLEY_IAR_VERSION) && \ + (!defined(JSON_HEDLEY_SUNPRO_VERSION) || JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,15,0)) && \ + (!defined(JSON_HEDLEY_MSVC_VERSION) || JSON_HEDLEY_MSVC_VERSION_CHECK(19,20,0)) + #define JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS(ns,attribute) JSON_HEDLEY_HAS_CPP_ATTRIBUTE(ns::attribute) +#else + #define JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS(ns,attribute) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_CPP_ATTRIBUTE) + #undef JSON_HEDLEY_GNUC_HAS_CPP_ATTRIBUTE +#endif +#if defined(__has_cpp_attribute) && defined(__cplusplus) + #define JSON_HEDLEY_GNUC_HAS_CPP_ATTRIBUTE(attribute,major,minor,patch) __has_cpp_attribute(attribute) +#else + #define JSON_HEDLEY_GNUC_HAS_CPP_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_CPP_ATTRIBUTE) + #undef JSON_HEDLEY_GCC_HAS_CPP_ATTRIBUTE +#endif +#if defined(__has_cpp_attribute) && defined(__cplusplus) + #define JSON_HEDLEY_GCC_HAS_CPP_ATTRIBUTE(attribute,major,minor,patch) __has_cpp_attribute(attribute) +#else + #define JSON_HEDLEY_GCC_HAS_CPP_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_BUILTIN) + #undef JSON_HEDLEY_HAS_BUILTIN +#endif +#if defined(__has_builtin) + #define JSON_HEDLEY_HAS_BUILTIN(builtin) __has_builtin(builtin) +#else + #define JSON_HEDLEY_HAS_BUILTIN(builtin) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_BUILTIN) + #undef JSON_HEDLEY_GNUC_HAS_BUILTIN +#endif +#if defined(__has_builtin) + #define JSON_HEDLEY_GNUC_HAS_BUILTIN(builtin,major,minor,patch) __has_builtin(builtin) +#else + #define JSON_HEDLEY_GNUC_HAS_BUILTIN(builtin,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_BUILTIN) + #undef JSON_HEDLEY_GCC_HAS_BUILTIN +#endif +#if defined(__has_builtin) + #define JSON_HEDLEY_GCC_HAS_BUILTIN(builtin,major,minor,patch) __has_builtin(builtin) +#else + #define JSON_HEDLEY_GCC_HAS_BUILTIN(builtin,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_FEATURE) + #undef JSON_HEDLEY_HAS_FEATURE +#endif +#if defined(__has_feature) + #define JSON_HEDLEY_HAS_FEATURE(feature) __has_feature(feature) +#else + #define JSON_HEDLEY_HAS_FEATURE(feature) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_FEATURE) + #undef JSON_HEDLEY_GNUC_HAS_FEATURE +#endif +#if defined(__has_feature) + #define JSON_HEDLEY_GNUC_HAS_FEATURE(feature,major,minor,patch) __has_feature(feature) +#else + #define JSON_HEDLEY_GNUC_HAS_FEATURE(feature,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_FEATURE) + #undef JSON_HEDLEY_GCC_HAS_FEATURE +#endif +#if defined(__has_feature) + #define JSON_HEDLEY_GCC_HAS_FEATURE(feature,major,minor,patch) __has_feature(feature) +#else + #define JSON_HEDLEY_GCC_HAS_FEATURE(feature,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_EXTENSION) + #undef JSON_HEDLEY_HAS_EXTENSION +#endif +#if defined(__has_extension) + #define JSON_HEDLEY_HAS_EXTENSION(extension) __has_extension(extension) +#else + #define JSON_HEDLEY_HAS_EXTENSION(extension) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_EXTENSION) + #undef JSON_HEDLEY_GNUC_HAS_EXTENSION +#endif +#if defined(__has_extension) + #define JSON_HEDLEY_GNUC_HAS_EXTENSION(extension,major,minor,patch) __has_extension(extension) +#else + #define JSON_HEDLEY_GNUC_HAS_EXTENSION(extension,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_EXTENSION) + #undef JSON_HEDLEY_GCC_HAS_EXTENSION +#endif +#if defined(__has_extension) + #define JSON_HEDLEY_GCC_HAS_EXTENSION(extension,major,minor,patch) __has_extension(extension) +#else + #define JSON_HEDLEY_GCC_HAS_EXTENSION(extension,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE) + #undef JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE +#endif +#if defined(__has_declspec_attribute) + #define JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE(attribute) __has_declspec_attribute(attribute) +#else + #define JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE(attribute) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_DECLSPEC_ATTRIBUTE) + #undef JSON_HEDLEY_GNUC_HAS_DECLSPEC_ATTRIBUTE +#endif +#if defined(__has_declspec_attribute) + #define JSON_HEDLEY_GNUC_HAS_DECLSPEC_ATTRIBUTE(attribute,major,minor,patch) __has_declspec_attribute(attribute) +#else + #define JSON_HEDLEY_GNUC_HAS_DECLSPEC_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_DECLSPEC_ATTRIBUTE) + #undef JSON_HEDLEY_GCC_HAS_DECLSPEC_ATTRIBUTE +#endif +#if defined(__has_declspec_attribute) + #define JSON_HEDLEY_GCC_HAS_DECLSPEC_ATTRIBUTE(attribute,major,minor,patch) __has_declspec_attribute(attribute) +#else + #define JSON_HEDLEY_GCC_HAS_DECLSPEC_ATTRIBUTE(attribute,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_HAS_WARNING) + #undef JSON_HEDLEY_HAS_WARNING +#endif +#if defined(__has_warning) + #define JSON_HEDLEY_HAS_WARNING(warning) __has_warning(warning) +#else + #define JSON_HEDLEY_HAS_WARNING(warning) (0) +#endif + +#if defined(JSON_HEDLEY_GNUC_HAS_WARNING) + #undef JSON_HEDLEY_GNUC_HAS_WARNING +#endif +#if defined(__has_warning) + #define JSON_HEDLEY_GNUC_HAS_WARNING(warning,major,minor,patch) __has_warning(warning) +#else + #define JSON_HEDLEY_GNUC_HAS_WARNING(warning,major,minor,patch) JSON_HEDLEY_GNUC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_GCC_HAS_WARNING) + #undef JSON_HEDLEY_GCC_HAS_WARNING +#endif +#if defined(__has_warning) + #define JSON_HEDLEY_GCC_HAS_WARNING(warning,major,minor,patch) __has_warning(warning) +#else + #define JSON_HEDLEY_GCC_HAS_WARNING(warning,major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if \ + (defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 199901L)) || \ + defined(__clang__) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(18,4,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,7,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(2,0,1) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,1,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,0,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_CRAY_VERSION_CHECK(5,0,0) || \ + JSON_HEDLEY_TINYC_VERSION_CHECK(0,9,17) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(8,0,0) || \ + (JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) && defined(__C99_PRAGMA_OPERATOR)) + #define JSON_HEDLEY_PRAGMA(value) _Pragma(#value) +#elif JSON_HEDLEY_MSVC_VERSION_CHECK(15,0,0) + #define JSON_HEDLEY_PRAGMA(value) __pragma(value) +#else + #define JSON_HEDLEY_PRAGMA(value) +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_PUSH) + #undef JSON_HEDLEY_DIAGNOSTIC_PUSH +#endif +#if defined(JSON_HEDLEY_DIAGNOSTIC_POP) + #undef JSON_HEDLEY_DIAGNOSTIC_POP +#endif +#if defined(__clang__) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("clang diagnostic push") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("clang diagnostic pop") +#elif JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("warning(push)") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("warning(pop)") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(4,6,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("GCC diagnostic push") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("GCC diagnostic pop") +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(15,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH __pragma(warning(push)) + #define JSON_HEDLEY_DIAGNOSTIC_POP __pragma(warning(pop)) +#elif JSON_HEDLEY_ARM_VERSION_CHECK(5,6,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("push") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("pop") +#elif \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,4,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,1,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("diag_push") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("diag_pop") +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(2,90,0) + #define JSON_HEDLEY_DIAGNOSTIC_PUSH _Pragma("warning(push)") + #define JSON_HEDLEY_DIAGNOSTIC_POP _Pragma("warning(pop)") +#else + #define JSON_HEDLEY_DIAGNOSTIC_PUSH + #define JSON_HEDLEY_DIAGNOSTIC_POP +#endif + +/* JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_ is for + HEDLEY INTERNAL USE ONLY. API subject to change without notice. */ +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_ +#endif +#if defined(__cplusplus) +# if JSON_HEDLEY_HAS_WARNING("-Wc++98-compat") +# if JSON_HEDLEY_HAS_WARNING("-Wc++17-extensions") +# if JSON_HEDLEY_HAS_WARNING("-Wc++1z-extensions") +# define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(xpr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wc++98-compat\"") \ + _Pragma("clang diagnostic ignored \"-Wc++17-extensions\"") \ + _Pragma("clang diagnostic ignored \"-Wc++1z-extensions\"") \ + xpr \ + JSON_HEDLEY_DIAGNOSTIC_POP +# else +# define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(xpr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wc++98-compat\"") \ + _Pragma("clang diagnostic ignored \"-Wc++17-extensions\"") \ + xpr \ + JSON_HEDLEY_DIAGNOSTIC_POP +# endif +# else +# define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(xpr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wc++98-compat\"") \ + xpr \ + JSON_HEDLEY_DIAGNOSTIC_POP +# endif +# endif +#endif +#if !defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(x) x +#endif + +#if defined(JSON_HEDLEY_CONST_CAST) + #undef JSON_HEDLEY_CONST_CAST +#endif +#if defined(__cplusplus) +# define JSON_HEDLEY_CONST_CAST(T, expr) (const_cast(expr)) +#elif \ + JSON_HEDLEY_HAS_WARNING("-Wcast-qual") || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,6,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) +# define JSON_HEDLEY_CONST_CAST(T, expr) (__extension__ ({ \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL \ + ((T) (expr)); \ + JSON_HEDLEY_DIAGNOSTIC_POP \ + })) +#else +# define JSON_HEDLEY_CONST_CAST(T, expr) ((T) (expr)) +#endif + +#if defined(JSON_HEDLEY_REINTERPRET_CAST) + #undef JSON_HEDLEY_REINTERPRET_CAST +#endif +#if defined(__cplusplus) + #define JSON_HEDLEY_REINTERPRET_CAST(T, expr) (reinterpret_cast(expr)) +#else + #define JSON_HEDLEY_REINTERPRET_CAST(T, expr) ((T) (expr)) +#endif + +#if defined(JSON_HEDLEY_STATIC_CAST) + #undef JSON_HEDLEY_STATIC_CAST +#endif +#if defined(__cplusplus) + #define JSON_HEDLEY_STATIC_CAST(T, expr) (static_cast(expr)) +#else + #define JSON_HEDLEY_STATIC_CAST(T, expr) ((T) (expr)) +#endif + +#if defined(JSON_HEDLEY_CPP_CAST) + #undef JSON_HEDLEY_CPP_CAST +#endif +#if defined(__cplusplus) +# if JSON_HEDLEY_HAS_WARNING("-Wold-style-cast") +# define JSON_HEDLEY_CPP_CAST(T, expr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wold-style-cast\"") \ + ((T) (expr)) \ + JSON_HEDLEY_DIAGNOSTIC_POP +# elif JSON_HEDLEY_IAR_VERSION_CHECK(8,3,0) +# define JSON_HEDLEY_CPP_CAST(T, expr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("diag_suppress=Pe137") \ + JSON_HEDLEY_DIAGNOSTIC_POP +# else +# define JSON_HEDLEY_CPP_CAST(T, expr) ((T) (expr)) +# endif +#else +# define JSON_HEDLEY_CPP_CAST(T, expr) (expr) +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wdeprecated-declarations") + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("clang diagnostic ignored \"-Wdeprecated-declarations\"") +#elif JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("warning(disable:1478 1786)") +#elif JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED __pragma(warning(disable:1478 1786)) +#elif JSON_HEDLEY_PGI_VERSION_CHECK(20,7,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("diag_suppress 1215,1216,1444,1445") +#elif JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("diag_suppress 1215,1444") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(4,3,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("GCC diagnostic ignored \"-Wdeprecated-declarations\"") +#elif JSON_HEDLEY_MSVC_VERSION_CHECK(15,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED __pragma(warning(disable:4996)) +#elif JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("diag_suppress 1215,1444") +#elif \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("diag_suppress 1291,1718") +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,13,0) && !defined(__cplusplus) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("error_messages(off,E_DEPRECATED_ATT,E_DEPRECATED_ATT_MESS)") +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,13,0) && defined(__cplusplus) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("error_messages(off,symdeprecated,symdeprecated2)") +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("diag_suppress=Pe1444,Pe1215") +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(2,90,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED _Pragma("warn(disable:2241)") +#else + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wunknown-pragmas") + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("clang diagnostic ignored \"-Wunknown-pragmas\"") +#elif JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("warning(disable:161)") +#elif JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS __pragma(warning(disable:161)) +#elif JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("diag_suppress 1675") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(4,3,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("GCC diagnostic ignored \"-Wunknown-pragmas\"") +#elif JSON_HEDLEY_MSVC_VERSION_CHECK(15,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS __pragma(warning(disable:4068)) +#elif \ + JSON_HEDLEY_TI_VERSION_CHECK(16,9,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,0,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,3,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("diag_suppress 163") +#elif JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("diag_suppress 163") +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("diag_suppress=Pe161") +#elif JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS _Pragma("diag_suppress 161") +#else + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wunknown-attributes") + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("clang diagnostic ignored \"-Wunknown-attributes\"") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(4,6,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("GCC diagnostic ignored \"-Wdeprecated-declarations\"") +#elif JSON_HEDLEY_INTEL_VERSION_CHECK(17,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("warning(disable:1292)") +#elif JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES __pragma(warning(disable:1292)) +#elif JSON_HEDLEY_MSVC_VERSION_CHECK(19,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES __pragma(warning(disable:5030)) +#elif JSON_HEDLEY_PGI_VERSION_CHECK(20,7,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("diag_suppress 1097,1098") +#elif JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("diag_suppress 1097") +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,14,0) && defined(__cplusplus) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("error_messages(off,attrskipunsup)") +#elif \ + JSON_HEDLEY_TI_VERSION_CHECK(18,1,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,3,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("diag_suppress 1173") +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("diag_suppress=Pe1097") +#elif JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES _Pragma("diag_suppress 1097") +#else + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wcast-qual") + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL _Pragma("clang diagnostic ignored \"-Wcast-qual\"") +#elif JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL _Pragma("warning(disable:2203 2331)") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(3,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL _Pragma("GCC diagnostic ignored \"-Wcast-qual\"") +#else + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL +#endif + +#if defined(JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION) + #undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wunused-function") + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION _Pragma("clang diagnostic ignored \"-Wunused-function\"") +#elif JSON_HEDLEY_GCC_VERSION_CHECK(3,4,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION _Pragma("GCC diagnostic ignored \"-Wunused-function\"") +#elif JSON_HEDLEY_MSVC_VERSION_CHECK(1,0,0) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION __pragma(warning(disable:4505)) +#elif JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION _Pragma("diag_suppress 3142") +#else + #define JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION +#endif + +#if defined(JSON_HEDLEY_DEPRECATED) + #undef JSON_HEDLEY_DEPRECATED +#endif +#if defined(JSON_HEDLEY_DEPRECATED_FOR) + #undef JSON_HEDLEY_DEPRECATED_FOR +#endif +#if \ + JSON_HEDLEY_MSVC_VERSION_CHECK(14,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DEPRECATED(since) __declspec(deprecated("Since " # since)) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) __declspec(deprecated("Since " #since "; use " #replacement)) +#elif \ + (JSON_HEDLEY_HAS_EXTENSION(attribute_deprecated_with_message) && !defined(JSON_HEDLEY_IAR_VERSION)) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,5,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(5,6,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,13,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(18,1,0) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(18,1,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,3,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,3,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_DEPRECATED(since) __attribute__((__deprecated__("Since " #since))) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) __attribute__((__deprecated__("Since " #since "; use " #replacement))) +#elif defined(__cplusplus) && (__cplusplus >= 201402L) + #define JSON_HEDLEY_DEPRECATED(since) JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[deprecated("Since " #since)]]) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[deprecated("Since " #since "; use " #replacement)]]) +#elif \ + JSON_HEDLEY_HAS_ATTRIBUTE(deprecated) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,10,0) + #define JSON_HEDLEY_DEPRECATED(since) __attribute__((__deprecated__)) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) __attribute__((__deprecated__)) +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(13,10,0) || \ + JSON_HEDLEY_PELLES_VERSION_CHECK(6,50,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_DEPRECATED(since) __declspec(deprecated) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) __declspec(deprecated) +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_DEPRECATED(since) _Pragma("deprecated") + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) _Pragma("deprecated") +#else + #define JSON_HEDLEY_DEPRECATED(since) + #define JSON_HEDLEY_DEPRECATED_FOR(since, replacement) +#endif + +#if defined(JSON_HEDLEY_UNAVAILABLE) + #undef JSON_HEDLEY_UNAVAILABLE +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(warning) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,3,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_UNAVAILABLE(available_since) __attribute__((__warning__("Not available until " #available_since))) +#else + #define JSON_HEDLEY_UNAVAILABLE(available_since) +#endif + +#if defined(JSON_HEDLEY_WARN_UNUSED_RESULT) + #undef JSON_HEDLEY_WARN_UNUSED_RESULT +#endif +#if defined(JSON_HEDLEY_WARN_UNUSED_RESULT_MSG) + #undef JSON_HEDLEY_WARN_UNUSED_RESULT_MSG +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(warn_unused_result) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,4,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + (JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,15,0) && defined(__cplusplus)) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_WARN_UNUSED_RESULT __attribute__((__warn_unused_result__)) + #define JSON_HEDLEY_WARN_UNUSED_RESULT_MSG(msg) __attribute__((__warn_unused_result__)) +#elif (JSON_HEDLEY_HAS_CPP_ATTRIBUTE(nodiscard) >= 201907L) + #define JSON_HEDLEY_WARN_UNUSED_RESULT JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[nodiscard]]) + #define JSON_HEDLEY_WARN_UNUSED_RESULT_MSG(msg) JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[nodiscard(msg)]]) +#elif JSON_HEDLEY_HAS_CPP_ATTRIBUTE(nodiscard) + #define JSON_HEDLEY_WARN_UNUSED_RESULT JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[nodiscard]]) + #define JSON_HEDLEY_WARN_UNUSED_RESULT_MSG(msg) JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[nodiscard]]) +#elif defined(_Check_return_) /* SAL */ + #define JSON_HEDLEY_WARN_UNUSED_RESULT _Check_return_ + #define JSON_HEDLEY_WARN_UNUSED_RESULT_MSG(msg) _Check_return_ +#else + #define JSON_HEDLEY_WARN_UNUSED_RESULT + #define JSON_HEDLEY_WARN_UNUSED_RESULT_MSG(msg) +#endif + +#if defined(JSON_HEDLEY_SENTINEL) + #undef JSON_HEDLEY_SENTINEL +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(sentinel) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(5,4,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_SENTINEL(position) __attribute__((__sentinel__(position))) +#else + #define JSON_HEDLEY_SENTINEL(position) +#endif + +#if defined(JSON_HEDLEY_NO_RETURN) + #undef JSON_HEDLEY_NO_RETURN +#endif +#if JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_NO_RETURN __noreturn +#elif \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_NO_RETURN __attribute__((__noreturn__)) +#elif defined(__STDC_VERSION__) && __STDC_VERSION__ >= 201112L + #define JSON_HEDLEY_NO_RETURN _Noreturn +#elif defined(__cplusplus) && (__cplusplus >= 201103L) + #define JSON_HEDLEY_NO_RETURN JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[noreturn]]) +#elif \ + JSON_HEDLEY_HAS_ATTRIBUTE(noreturn) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,2,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,10,0) + #define JSON_HEDLEY_NO_RETURN __attribute__((__noreturn__)) +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,10,0) + #define JSON_HEDLEY_NO_RETURN _Pragma("does_not_return") +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(13,10,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_NO_RETURN __declspec(noreturn) +#elif JSON_HEDLEY_TI_CL6X_VERSION_CHECK(6,0,0) && defined(__cplusplus) + #define JSON_HEDLEY_NO_RETURN _Pragma("FUNC_NEVER_RETURNS;") +#elif JSON_HEDLEY_COMPCERT_VERSION_CHECK(3,2,0) + #define JSON_HEDLEY_NO_RETURN __attribute((noreturn)) +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(9,0,0) + #define JSON_HEDLEY_NO_RETURN __declspec(noreturn) +#else + #define JSON_HEDLEY_NO_RETURN +#endif + +#if defined(JSON_HEDLEY_NO_ESCAPE) + #undef JSON_HEDLEY_NO_ESCAPE +#endif +#if JSON_HEDLEY_HAS_ATTRIBUTE(noescape) + #define JSON_HEDLEY_NO_ESCAPE __attribute__((__noescape__)) +#else + #define JSON_HEDLEY_NO_ESCAPE +#endif + +#if defined(JSON_HEDLEY_UNREACHABLE) + #undef JSON_HEDLEY_UNREACHABLE +#endif +#if defined(JSON_HEDLEY_UNREACHABLE_RETURN) + #undef JSON_HEDLEY_UNREACHABLE_RETURN +#endif +#if defined(JSON_HEDLEY_ASSUME) + #undef JSON_HEDLEY_ASSUME +#endif +#if \ + JSON_HEDLEY_MSVC_VERSION_CHECK(13,10,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_ASSUME(expr) __assume(expr) +#elif JSON_HEDLEY_HAS_BUILTIN(__builtin_assume) + #define JSON_HEDLEY_ASSUME(expr) __builtin_assume(expr) +#elif \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,2,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(4,0,0) + #if defined(__cplusplus) + #define JSON_HEDLEY_ASSUME(expr) std::_nassert(expr) + #else + #define JSON_HEDLEY_ASSUME(expr) _nassert(expr) + #endif +#endif +#if \ + (JSON_HEDLEY_HAS_BUILTIN(__builtin_unreachable) && (!defined(JSON_HEDLEY_ARM_VERSION))) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,5,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(18,10,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(13,1,5) || \ + JSON_HEDLEY_CRAY_VERSION_CHECK(10,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_UNREACHABLE() __builtin_unreachable() +#elif defined(JSON_HEDLEY_ASSUME) + #define JSON_HEDLEY_UNREACHABLE() JSON_HEDLEY_ASSUME(0) +#endif +#if !defined(JSON_HEDLEY_ASSUME) + #if defined(JSON_HEDLEY_UNREACHABLE) + #define JSON_HEDLEY_ASSUME(expr) JSON_HEDLEY_STATIC_CAST(void, ((expr) ? 1 : (JSON_HEDLEY_UNREACHABLE(), 1))) + #else + #define JSON_HEDLEY_ASSUME(expr) JSON_HEDLEY_STATIC_CAST(void, expr) + #endif +#endif +#if defined(JSON_HEDLEY_UNREACHABLE) + #if \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,2,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(4,0,0) + #define JSON_HEDLEY_UNREACHABLE_RETURN(value) return (JSON_HEDLEY_STATIC_CAST(void, JSON_HEDLEY_ASSUME(0)), (value)) + #else + #define JSON_HEDLEY_UNREACHABLE_RETURN(value) JSON_HEDLEY_UNREACHABLE() + #endif +#else + #define JSON_HEDLEY_UNREACHABLE_RETURN(value) return (value) +#endif +#if !defined(JSON_HEDLEY_UNREACHABLE) + #define JSON_HEDLEY_UNREACHABLE() JSON_HEDLEY_ASSUME(0) +#endif + +JSON_HEDLEY_DIAGNOSTIC_PUSH +#if JSON_HEDLEY_HAS_WARNING("-Wpedantic") + #pragma clang diagnostic ignored "-Wpedantic" +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wc++98-compat-pedantic") && defined(__cplusplus) + #pragma clang diagnostic ignored "-Wc++98-compat-pedantic" +#endif +#if JSON_HEDLEY_GCC_HAS_WARNING("-Wvariadic-macros",4,0,0) + #if defined(__clang__) + #pragma clang diagnostic ignored "-Wvariadic-macros" + #elif defined(JSON_HEDLEY_GCC_VERSION) + #pragma GCC diagnostic ignored "-Wvariadic-macros" + #endif +#endif +#if defined(JSON_HEDLEY_NON_NULL) + #undef JSON_HEDLEY_NON_NULL +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(nonnull) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,3,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) + #define JSON_HEDLEY_NON_NULL(...) __attribute__((__nonnull__(__VA_ARGS__))) +#else + #define JSON_HEDLEY_NON_NULL(...) +#endif +JSON_HEDLEY_DIAGNOSTIC_POP + +#if defined(JSON_HEDLEY_PRINTF_FORMAT) + #undef JSON_HEDLEY_PRINTF_FORMAT +#endif +#if defined(__MINGW32__) && JSON_HEDLEY_GCC_HAS_ATTRIBUTE(format,4,4,0) && !defined(__USE_MINGW_ANSI_STDIO) + #define JSON_HEDLEY_PRINTF_FORMAT(string_idx,first_to_check) __attribute__((__format__(ms_printf, string_idx, first_to_check))) +#elif defined(__MINGW32__) && JSON_HEDLEY_GCC_HAS_ATTRIBUTE(format,4,4,0) && defined(__USE_MINGW_ANSI_STDIO) + #define JSON_HEDLEY_PRINTF_FORMAT(string_idx,first_to_check) __attribute__((__format__(gnu_printf, string_idx, first_to_check))) +#elif \ + JSON_HEDLEY_HAS_ATTRIBUTE(format) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(5,6,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_PRINTF_FORMAT(string_idx,first_to_check) __attribute__((__format__(__printf__, string_idx, first_to_check))) +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(6,0,0) + #define JSON_HEDLEY_PRINTF_FORMAT(string_idx,first_to_check) __declspec(vaformat(printf,string_idx,first_to_check)) +#else + #define JSON_HEDLEY_PRINTF_FORMAT(string_idx,first_to_check) +#endif + +#if defined(JSON_HEDLEY_CONSTEXPR) + #undef JSON_HEDLEY_CONSTEXPR +#endif +#if defined(__cplusplus) + #if __cplusplus >= 201103L + #define JSON_HEDLEY_CONSTEXPR JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(constexpr) + #endif +#endif +#if !defined(JSON_HEDLEY_CONSTEXPR) + #define JSON_HEDLEY_CONSTEXPR +#endif + +#if defined(JSON_HEDLEY_PREDICT) + #undef JSON_HEDLEY_PREDICT +#endif +#if defined(JSON_HEDLEY_LIKELY) + #undef JSON_HEDLEY_LIKELY +#endif +#if defined(JSON_HEDLEY_UNLIKELY) + #undef JSON_HEDLEY_UNLIKELY +#endif +#if defined(JSON_HEDLEY_UNPREDICTABLE) + #undef JSON_HEDLEY_UNPREDICTABLE +#endif +#if JSON_HEDLEY_HAS_BUILTIN(__builtin_unpredictable) + #define JSON_HEDLEY_UNPREDICTABLE(expr) __builtin_unpredictable((expr)) +#endif +#if \ + (JSON_HEDLEY_HAS_BUILTIN(__builtin_expect_with_probability) && !defined(JSON_HEDLEY_PGI_VERSION)) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(9,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) +# define JSON_HEDLEY_PREDICT(expr, value, probability) __builtin_expect_with_probability( (expr), (value), (probability)) +# define JSON_HEDLEY_PREDICT_TRUE(expr, probability) __builtin_expect_with_probability(!!(expr), 1 , (probability)) +# define JSON_HEDLEY_PREDICT_FALSE(expr, probability) __builtin_expect_with_probability(!!(expr), 0 , (probability)) +# define JSON_HEDLEY_LIKELY(expr) __builtin_expect (!!(expr), 1 ) +# define JSON_HEDLEY_UNLIKELY(expr) __builtin_expect (!!(expr), 0 ) +#elif \ + (JSON_HEDLEY_HAS_BUILTIN(__builtin_expect) && !defined(JSON_HEDLEY_INTEL_CL_VERSION)) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + (JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,15,0) && defined(__cplusplus)) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,7,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,1,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(6,1,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_TINYC_VERSION_CHECK(0,9,27) || \ + JSON_HEDLEY_CRAY_VERSION_CHECK(8,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) +# define JSON_HEDLEY_PREDICT(expr, expected, probability) \ + (((probability) >= 0.9) ? __builtin_expect((expr), (expected)) : (JSON_HEDLEY_STATIC_CAST(void, expected), (expr))) +# define JSON_HEDLEY_PREDICT_TRUE(expr, probability) \ + (__extension__ ({ \ + double hedley_probability_ = (probability); \ + ((hedley_probability_ >= 0.9) ? __builtin_expect(!!(expr), 1) : ((hedley_probability_ <= 0.1) ? __builtin_expect(!!(expr), 0) : !!(expr))); \ + })) +# define JSON_HEDLEY_PREDICT_FALSE(expr, probability) \ + (__extension__ ({ \ + double hedley_probability_ = (probability); \ + ((hedley_probability_ >= 0.9) ? __builtin_expect(!!(expr), 0) : ((hedley_probability_ <= 0.1) ? __builtin_expect(!!(expr), 1) : !!(expr))); \ + })) +# define JSON_HEDLEY_LIKELY(expr) __builtin_expect(!!(expr), 1) +# define JSON_HEDLEY_UNLIKELY(expr) __builtin_expect(!!(expr), 0) +#else +# define JSON_HEDLEY_PREDICT(expr, expected, probability) (JSON_HEDLEY_STATIC_CAST(void, expected), (expr)) +# define JSON_HEDLEY_PREDICT_TRUE(expr, probability) (!!(expr)) +# define JSON_HEDLEY_PREDICT_FALSE(expr, probability) (!!(expr)) +# define JSON_HEDLEY_LIKELY(expr) (!!(expr)) +# define JSON_HEDLEY_UNLIKELY(expr) (!!(expr)) +#endif +#if !defined(JSON_HEDLEY_UNPREDICTABLE) + #define JSON_HEDLEY_UNPREDICTABLE(expr) JSON_HEDLEY_PREDICT(expr, 1, 0.5) +#endif + +#if defined(JSON_HEDLEY_MALLOC) + #undef JSON_HEDLEY_MALLOC +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(malloc) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(12,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_MALLOC __attribute__((__malloc__)) +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,10,0) + #define JSON_HEDLEY_MALLOC _Pragma("returns_new_memory") +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(14,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_MALLOC __declspec(restrict) +#else + #define JSON_HEDLEY_MALLOC +#endif + +#if defined(JSON_HEDLEY_PURE) + #undef JSON_HEDLEY_PURE +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(pure) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(2,96,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) +# define JSON_HEDLEY_PURE __attribute__((__pure__)) +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,10,0) +# define JSON_HEDLEY_PURE _Pragma("does_not_write_global_data") +#elif defined(__cplusplus) && \ + ( \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(2,0,1) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(4,0,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) \ + ) +# define JSON_HEDLEY_PURE _Pragma("FUNC_IS_PURE;") +#else +# define JSON_HEDLEY_PURE +#endif + +#if defined(JSON_HEDLEY_CONST) + #undef JSON_HEDLEY_CONST +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(const) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(2,5,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_CONST __attribute__((__const__)) +#elif \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,10,0) + #define JSON_HEDLEY_CONST _Pragma("no_side_effect") +#else + #define JSON_HEDLEY_CONST JSON_HEDLEY_PURE +#endif + +#if defined(JSON_HEDLEY_RESTRICT) + #undef JSON_HEDLEY_RESTRICT +#endif +#if defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 199901L) && !defined(__cplusplus) + #define JSON_HEDLEY_RESTRICT restrict +#elif \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_MSVC_VERSION_CHECK(14,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(17,10,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,2,4) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,1,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + (JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,14,0) && defined(__cplusplus)) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) || \ + defined(__clang__) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_RESTRICT __restrict +#elif JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,3,0) && !defined(__cplusplus) + #define JSON_HEDLEY_RESTRICT _Restrict +#else + #define JSON_HEDLEY_RESTRICT +#endif + +#if defined(JSON_HEDLEY_INLINE) + #undef JSON_HEDLEY_INLINE +#endif +#if \ + (defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 199901L)) || \ + (defined(__cplusplus) && (__cplusplus >= 199711L)) + #define JSON_HEDLEY_INLINE inline +#elif \ + defined(JSON_HEDLEY_GCC_VERSION) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(6,2,0) + #define JSON_HEDLEY_INLINE __inline__ +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(12,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,1,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(3,1,0) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,2,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(8,0,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_INLINE __inline +#else + #define JSON_HEDLEY_INLINE +#endif + +#if defined(JSON_HEDLEY_ALWAYS_INLINE) + #undef JSON_HEDLEY_ALWAYS_INLINE +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(always_inline) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,10,0) +# define JSON_HEDLEY_ALWAYS_INLINE __attribute__((__always_inline__)) JSON_HEDLEY_INLINE +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(12,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) +# define JSON_HEDLEY_ALWAYS_INLINE __forceinline +#elif defined(__cplusplus) && \ + ( \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(6,1,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) \ + ) +# define JSON_HEDLEY_ALWAYS_INLINE _Pragma("FUNC_ALWAYS_INLINE;") +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) +# define JSON_HEDLEY_ALWAYS_INLINE _Pragma("inline=forced") +#else +# define JSON_HEDLEY_ALWAYS_INLINE JSON_HEDLEY_INLINE +#endif + +#if defined(JSON_HEDLEY_NEVER_INLINE) + #undef JSON_HEDLEY_NEVER_INLINE +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(noinline) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(10,1,0) || \ + JSON_HEDLEY_TI_VERSION_CHECK(15,12,0) || \ + (JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(4,8,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_ARMCL_VERSION_CHECK(5,2,0) || \ + (JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL2000_VERSION_CHECK(6,4,0) || \ + (JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,0,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(4,3,0) || \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) || \ + JSON_HEDLEY_TI_CL7X_VERSION_CHECK(1,2,0) || \ + JSON_HEDLEY_TI_CLPRU_VERSION_CHECK(2,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) || \ + JSON_HEDLEY_IAR_VERSION_CHECK(8,10,0) + #define JSON_HEDLEY_NEVER_INLINE __attribute__((__noinline__)) +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(13,10,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_NEVER_INLINE __declspec(noinline) +#elif JSON_HEDLEY_PGI_VERSION_CHECK(10,2,0) + #define JSON_HEDLEY_NEVER_INLINE _Pragma("noinline") +#elif JSON_HEDLEY_TI_CL6X_VERSION_CHECK(6,0,0) && defined(__cplusplus) + #define JSON_HEDLEY_NEVER_INLINE _Pragma("FUNC_CANNOT_INLINE;") +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) + #define JSON_HEDLEY_NEVER_INLINE _Pragma("inline=never") +#elif JSON_HEDLEY_COMPCERT_VERSION_CHECK(3,2,0) + #define JSON_HEDLEY_NEVER_INLINE __attribute((noinline)) +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(9,0,0) + #define JSON_HEDLEY_NEVER_INLINE __declspec(noinline) +#else + #define JSON_HEDLEY_NEVER_INLINE +#endif + +#if defined(JSON_HEDLEY_PRIVATE) + #undef JSON_HEDLEY_PRIVATE +#endif +#if defined(JSON_HEDLEY_PUBLIC) + #undef JSON_HEDLEY_PUBLIC +#endif +#if defined(JSON_HEDLEY_IMPORT) + #undef JSON_HEDLEY_IMPORT +#endif +#if defined(_WIN32) || defined(__CYGWIN__) +# define JSON_HEDLEY_PRIVATE +# define JSON_HEDLEY_PUBLIC __declspec(dllexport) +# define JSON_HEDLEY_IMPORT __declspec(dllimport) +#else +# if \ + JSON_HEDLEY_HAS_ATTRIBUTE(visibility) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,3,0) || \ + JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,11,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(13,1,0) || \ + ( \ + defined(__TI_EABI__) && \ + ( \ + (JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,2,0) && defined(__TI_GNU_ATTRIBUTE_SUPPORT__)) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(7,5,0) \ + ) \ + ) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) +# define JSON_HEDLEY_PRIVATE __attribute__((__visibility__("hidden"))) +# define JSON_HEDLEY_PUBLIC __attribute__((__visibility__("default"))) +# else +# define JSON_HEDLEY_PRIVATE +# define JSON_HEDLEY_PUBLIC +# endif +# define JSON_HEDLEY_IMPORT extern +#endif + +#if defined(JSON_HEDLEY_NO_THROW) + #undef JSON_HEDLEY_NO_THROW +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(nothrow) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,3,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_NO_THROW __attribute__((__nothrow__)) +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(13,1,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) + #define JSON_HEDLEY_NO_THROW __declspec(nothrow) +#else + #define JSON_HEDLEY_NO_THROW +#endif + +#if defined(JSON_HEDLEY_FALL_THROUGH) + #undef JSON_HEDLEY_FALL_THROUGH +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(fallthrough) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(7,0,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_FALL_THROUGH __attribute__((__fallthrough__)) +#elif JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS(clang,fallthrough) + #define JSON_HEDLEY_FALL_THROUGH JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[clang::fallthrough]]) +#elif JSON_HEDLEY_HAS_CPP_ATTRIBUTE(fallthrough) + #define JSON_HEDLEY_FALL_THROUGH JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_([[fallthrough]]) +#elif defined(__fallthrough) /* SAL */ + #define JSON_HEDLEY_FALL_THROUGH __fallthrough +#else + #define JSON_HEDLEY_FALL_THROUGH +#endif + +#if defined(JSON_HEDLEY_RETURNS_NON_NULL) + #undef JSON_HEDLEY_RETURNS_NON_NULL +#endif +#if \ + JSON_HEDLEY_HAS_ATTRIBUTE(returns_nonnull) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,9,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_RETURNS_NON_NULL __attribute__((__returns_nonnull__)) +#elif defined(_Ret_notnull_) /* SAL */ + #define JSON_HEDLEY_RETURNS_NON_NULL _Ret_notnull_ +#else + #define JSON_HEDLEY_RETURNS_NON_NULL +#endif + +#if defined(JSON_HEDLEY_ARRAY_PARAM) + #undef JSON_HEDLEY_ARRAY_PARAM +#endif +#if \ + defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 199901L) && \ + !defined(__STDC_NO_VLA__) && \ + !defined(__cplusplus) && \ + !defined(JSON_HEDLEY_PGI_VERSION) && \ + !defined(JSON_HEDLEY_TINYC_VERSION) + #define JSON_HEDLEY_ARRAY_PARAM(name) (name) +#else + #define JSON_HEDLEY_ARRAY_PARAM(name) +#endif + +#if defined(JSON_HEDLEY_IS_CONSTANT) + #undef JSON_HEDLEY_IS_CONSTANT +#endif +#if defined(JSON_HEDLEY_REQUIRE_CONSTEXPR) + #undef JSON_HEDLEY_REQUIRE_CONSTEXPR +#endif +/* JSON_HEDLEY_IS_CONSTEXPR_ is for + HEDLEY INTERNAL USE ONLY. API subject to change without notice. */ +#if defined(JSON_HEDLEY_IS_CONSTEXPR_) + #undef JSON_HEDLEY_IS_CONSTEXPR_ +#endif +#if \ + JSON_HEDLEY_HAS_BUILTIN(__builtin_constant_p) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,4,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_TINYC_VERSION_CHECK(0,9,19) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(4,1,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(13,1,0) || \ + JSON_HEDLEY_TI_CL6X_VERSION_CHECK(6,1,0) || \ + (JSON_HEDLEY_SUNPRO_VERSION_CHECK(5,10,0) && !defined(__cplusplus)) || \ + JSON_HEDLEY_CRAY_VERSION_CHECK(8,1,0) || \ + JSON_HEDLEY_MCST_LCC_VERSION_CHECK(1,25,10) + #define JSON_HEDLEY_IS_CONSTANT(expr) __builtin_constant_p(expr) +#endif +#if !defined(__cplusplus) +# if \ + JSON_HEDLEY_HAS_BUILTIN(__builtin_types_compatible_p) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(3,4,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(13,1,0) || \ + JSON_HEDLEY_CRAY_VERSION_CHECK(8,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(5,4,0) || \ + JSON_HEDLEY_TINYC_VERSION_CHECK(0,9,24) +#if defined(__INTPTR_TYPE__) + #define JSON_HEDLEY_IS_CONSTEXPR_(expr) __builtin_types_compatible_p(__typeof__((1 ? (void*) ((__INTPTR_TYPE__) ((expr) * 0)) : (int*) 0)), int*) +#else + #include + #define JSON_HEDLEY_IS_CONSTEXPR_(expr) __builtin_types_compatible_p(__typeof__((1 ? (void*) ((intptr_t) ((expr) * 0)) : (int*) 0)), int*) +#endif +# elif \ + ( \ + defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 201112L) && \ + !defined(JSON_HEDLEY_SUNPRO_VERSION) && \ + !defined(JSON_HEDLEY_PGI_VERSION) && \ + !defined(JSON_HEDLEY_IAR_VERSION)) || \ + (JSON_HEDLEY_HAS_EXTENSION(c_generic_selections) && !defined(JSON_HEDLEY_IAR_VERSION)) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,9,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(17,0,0) || \ + JSON_HEDLEY_IBM_VERSION_CHECK(12,1,0) || \ + JSON_HEDLEY_ARM_VERSION_CHECK(5,3,0) +#if defined(__INTPTR_TYPE__) + #define JSON_HEDLEY_IS_CONSTEXPR_(expr) _Generic((1 ? (void*) ((__INTPTR_TYPE__) ((expr) * 0)) : (int*) 0), int*: 1, void*: 0) +#else + #include + #define JSON_HEDLEY_IS_CONSTEXPR_(expr) _Generic((1 ? (void*) ((intptr_t) * 0) : (int*) 0), int*: 1, void*: 0) +#endif +# elif \ + defined(JSON_HEDLEY_GCC_VERSION) || \ + defined(JSON_HEDLEY_INTEL_VERSION) || \ + defined(JSON_HEDLEY_TINYC_VERSION) || \ + defined(JSON_HEDLEY_TI_ARMCL_VERSION) || \ + JSON_HEDLEY_TI_CL430_VERSION_CHECK(18,12,0) || \ + defined(JSON_HEDLEY_TI_CL2000_VERSION) || \ + defined(JSON_HEDLEY_TI_CL6X_VERSION) || \ + defined(JSON_HEDLEY_TI_CL7X_VERSION) || \ + defined(JSON_HEDLEY_TI_CLPRU_VERSION) || \ + defined(__clang__) +# define JSON_HEDLEY_IS_CONSTEXPR_(expr) ( \ + sizeof(void) != \ + sizeof(*( \ + 1 ? \ + ((void*) ((expr) * 0L) ) : \ +((struct { char v[sizeof(void) * 2]; } *) 1) \ + ) \ + ) \ + ) +# endif +#endif +#if defined(JSON_HEDLEY_IS_CONSTEXPR_) + #if !defined(JSON_HEDLEY_IS_CONSTANT) + #define JSON_HEDLEY_IS_CONSTANT(expr) JSON_HEDLEY_IS_CONSTEXPR_(expr) + #endif + #define JSON_HEDLEY_REQUIRE_CONSTEXPR(expr) (JSON_HEDLEY_IS_CONSTEXPR_(expr) ? (expr) : (-1)) +#else + #if !defined(JSON_HEDLEY_IS_CONSTANT) + #define JSON_HEDLEY_IS_CONSTANT(expr) (0) + #endif + #define JSON_HEDLEY_REQUIRE_CONSTEXPR(expr) (expr) +#endif + +#if defined(JSON_HEDLEY_BEGIN_C_DECLS) + #undef JSON_HEDLEY_BEGIN_C_DECLS +#endif +#if defined(JSON_HEDLEY_END_C_DECLS) + #undef JSON_HEDLEY_END_C_DECLS +#endif +#if defined(JSON_HEDLEY_C_DECL) + #undef JSON_HEDLEY_C_DECL +#endif +#if defined(__cplusplus) + #define JSON_HEDLEY_BEGIN_C_DECLS extern "C" { + #define JSON_HEDLEY_END_C_DECLS } + #define JSON_HEDLEY_C_DECL extern "C" +#else + #define JSON_HEDLEY_BEGIN_C_DECLS + #define JSON_HEDLEY_END_C_DECLS + #define JSON_HEDLEY_C_DECL +#endif + +#if defined(JSON_HEDLEY_STATIC_ASSERT) + #undef JSON_HEDLEY_STATIC_ASSERT +#endif +#if \ + !defined(__cplusplus) && ( \ + (defined(__STDC_VERSION__) && (__STDC_VERSION__ >= 201112L)) || \ + (JSON_HEDLEY_HAS_FEATURE(c_static_assert) && !defined(JSON_HEDLEY_INTEL_CL_VERSION)) || \ + JSON_HEDLEY_GCC_VERSION_CHECK(6,0,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) || \ + defined(_Static_assert) \ + ) +# define JSON_HEDLEY_STATIC_ASSERT(expr, message) _Static_assert(expr, message) +#elif \ + (defined(__cplusplus) && (__cplusplus >= 201103L)) || \ + JSON_HEDLEY_MSVC_VERSION_CHECK(16,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) +# define JSON_HEDLEY_STATIC_ASSERT(expr, message) JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(static_assert(expr, message)) +#else +# define JSON_HEDLEY_STATIC_ASSERT(expr, message) +#endif + +#if defined(JSON_HEDLEY_NULL) + #undef JSON_HEDLEY_NULL +#endif +#if defined(__cplusplus) + #if __cplusplus >= 201103L + #define JSON_HEDLEY_NULL JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_(nullptr) + #elif defined(NULL) + #define JSON_HEDLEY_NULL NULL + #else + #define JSON_HEDLEY_NULL JSON_HEDLEY_STATIC_CAST(void*, 0) + #endif +#elif defined(NULL) + #define JSON_HEDLEY_NULL NULL +#else + #define JSON_HEDLEY_NULL ((void*) 0) +#endif + +#if defined(JSON_HEDLEY_MESSAGE) + #undef JSON_HEDLEY_MESSAGE +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wunknown-pragmas") +# define JSON_HEDLEY_MESSAGE(msg) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS \ + JSON_HEDLEY_PRAGMA(message msg) \ + JSON_HEDLEY_DIAGNOSTIC_POP +#elif \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,4,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) +# define JSON_HEDLEY_MESSAGE(msg) JSON_HEDLEY_PRAGMA(message msg) +#elif JSON_HEDLEY_CRAY_VERSION_CHECK(5,0,0) +# define JSON_HEDLEY_MESSAGE(msg) JSON_HEDLEY_PRAGMA(_CRI message msg) +#elif JSON_HEDLEY_IAR_VERSION_CHECK(8,0,0) +# define JSON_HEDLEY_MESSAGE(msg) JSON_HEDLEY_PRAGMA(message(msg)) +#elif JSON_HEDLEY_PELLES_VERSION_CHECK(2,0,0) +# define JSON_HEDLEY_MESSAGE(msg) JSON_HEDLEY_PRAGMA(message(msg)) +#else +# define JSON_HEDLEY_MESSAGE(msg) +#endif + +#if defined(JSON_HEDLEY_WARNING) + #undef JSON_HEDLEY_WARNING +#endif +#if JSON_HEDLEY_HAS_WARNING("-Wunknown-pragmas") +# define JSON_HEDLEY_WARNING(msg) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS \ + JSON_HEDLEY_PRAGMA(clang warning msg) \ + JSON_HEDLEY_DIAGNOSTIC_POP +#elif \ + JSON_HEDLEY_GCC_VERSION_CHECK(4,8,0) || \ + JSON_HEDLEY_PGI_VERSION_CHECK(18,4,0) || \ + JSON_HEDLEY_INTEL_VERSION_CHECK(13,0,0) +# define JSON_HEDLEY_WARNING(msg) JSON_HEDLEY_PRAGMA(GCC warning msg) +#elif \ + JSON_HEDLEY_MSVC_VERSION_CHECK(15,0,0) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) +# define JSON_HEDLEY_WARNING(msg) JSON_HEDLEY_PRAGMA(message(msg)) +#else +# define JSON_HEDLEY_WARNING(msg) JSON_HEDLEY_MESSAGE(msg) +#endif + +#if defined(JSON_HEDLEY_REQUIRE) + #undef JSON_HEDLEY_REQUIRE +#endif +#if defined(JSON_HEDLEY_REQUIRE_MSG) + #undef JSON_HEDLEY_REQUIRE_MSG +#endif +#if JSON_HEDLEY_HAS_ATTRIBUTE(diagnose_if) +# if JSON_HEDLEY_HAS_WARNING("-Wgcc-compat") +# define JSON_HEDLEY_REQUIRE(expr) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wgcc-compat\"") \ + __attribute__((diagnose_if(!(expr), #expr, "error"))) \ + JSON_HEDLEY_DIAGNOSTIC_POP +# define JSON_HEDLEY_REQUIRE_MSG(expr,msg) \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("clang diagnostic ignored \"-Wgcc-compat\"") \ + __attribute__((diagnose_if(!(expr), msg, "error"))) \ + JSON_HEDLEY_DIAGNOSTIC_POP +# else +# define JSON_HEDLEY_REQUIRE(expr) __attribute__((diagnose_if(!(expr), #expr, "error"))) +# define JSON_HEDLEY_REQUIRE_MSG(expr,msg) __attribute__((diagnose_if(!(expr), msg, "error"))) +# endif +#else +# define JSON_HEDLEY_REQUIRE(expr) +# define JSON_HEDLEY_REQUIRE_MSG(expr,msg) +#endif + +#if defined(JSON_HEDLEY_FLAGS) + #undef JSON_HEDLEY_FLAGS +#endif +#if JSON_HEDLEY_HAS_ATTRIBUTE(flag_enum) && (!defined(__cplusplus) || JSON_HEDLEY_HAS_WARNING("-Wbitfield-enum-conversion")) + #define JSON_HEDLEY_FLAGS __attribute__((__flag_enum__)) +#else + #define JSON_HEDLEY_FLAGS +#endif + +#if defined(JSON_HEDLEY_FLAGS_CAST) + #undef JSON_HEDLEY_FLAGS_CAST +#endif +#if JSON_HEDLEY_INTEL_VERSION_CHECK(19,0,0) +# define JSON_HEDLEY_FLAGS_CAST(T, expr) (__extension__ ({ \ + JSON_HEDLEY_DIAGNOSTIC_PUSH \ + _Pragma("warning(disable:188)") \ + ((T) (expr)); \ + JSON_HEDLEY_DIAGNOSTIC_POP \ + })) +#else +# define JSON_HEDLEY_FLAGS_CAST(T, expr) JSON_HEDLEY_STATIC_CAST(T, expr) +#endif + +#if defined(JSON_HEDLEY_EMPTY_BASES) + #undef JSON_HEDLEY_EMPTY_BASES +#endif +#if \ + (JSON_HEDLEY_MSVC_VERSION_CHECK(19,0,23918) && !JSON_HEDLEY_MSVC_VERSION_CHECK(20,0,0)) || \ + JSON_HEDLEY_INTEL_CL_VERSION_CHECK(2021,1,0) + #define JSON_HEDLEY_EMPTY_BASES __declspec(empty_bases) +#else + #define JSON_HEDLEY_EMPTY_BASES +#endif + +/* Remaining macros are deprecated. */ + +#if defined(JSON_HEDLEY_GCC_NOT_CLANG_VERSION_CHECK) + #undef JSON_HEDLEY_GCC_NOT_CLANG_VERSION_CHECK +#endif +#if defined(__clang__) + #define JSON_HEDLEY_GCC_NOT_CLANG_VERSION_CHECK(major,minor,patch) (0) +#else + #define JSON_HEDLEY_GCC_NOT_CLANG_VERSION_CHECK(major,minor,patch) JSON_HEDLEY_GCC_VERSION_CHECK(major,minor,patch) +#endif + +#if defined(JSON_HEDLEY_CLANG_HAS_ATTRIBUTE) + #undef JSON_HEDLEY_CLANG_HAS_ATTRIBUTE +#endif +#define JSON_HEDLEY_CLANG_HAS_ATTRIBUTE(attribute) JSON_HEDLEY_HAS_ATTRIBUTE(attribute) + +#if defined(JSON_HEDLEY_CLANG_HAS_CPP_ATTRIBUTE) + #undef JSON_HEDLEY_CLANG_HAS_CPP_ATTRIBUTE +#endif +#define JSON_HEDLEY_CLANG_HAS_CPP_ATTRIBUTE(attribute) JSON_HEDLEY_HAS_CPP_ATTRIBUTE(attribute) + +#if defined(JSON_HEDLEY_CLANG_HAS_BUILTIN) + #undef JSON_HEDLEY_CLANG_HAS_BUILTIN +#endif +#define JSON_HEDLEY_CLANG_HAS_BUILTIN(builtin) JSON_HEDLEY_HAS_BUILTIN(builtin) + +#if defined(JSON_HEDLEY_CLANG_HAS_FEATURE) + #undef JSON_HEDLEY_CLANG_HAS_FEATURE +#endif +#define JSON_HEDLEY_CLANG_HAS_FEATURE(feature) JSON_HEDLEY_HAS_FEATURE(feature) + +#if defined(JSON_HEDLEY_CLANG_HAS_EXTENSION) + #undef JSON_HEDLEY_CLANG_HAS_EXTENSION +#endif +#define JSON_HEDLEY_CLANG_HAS_EXTENSION(extension) JSON_HEDLEY_HAS_EXTENSION(extension) + +#if defined(JSON_HEDLEY_CLANG_HAS_DECLSPEC_DECLSPEC_ATTRIBUTE) + #undef JSON_HEDLEY_CLANG_HAS_DECLSPEC_DECLSPEC_ATTRIBUTE +#endif +#define JSON_HEDLEY_CLANG_HAS_DECLSPEC_ATTRIBUTE(attribute) JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE(attribute) + +#if defined(JSON_HEDLEY_CLANG_HAS_WARNING) + #undef JSON_HEDLEY_CLANG_HAS_WARNING +#endif +#define JSON_HEDLEY_CLANG_HAS_WARNING(warning) JSON_HEDLEY_HAS_WARNING(warning) + +#endif /* !defined(JSON_HEDLEY_VERSION) || (JSON_HEDLEY_VERSION < X) */ + +// #include + + +#include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +template struct make_void +{ + using type = void; +}; +template using void_t = typename make_void::type; +} // namespace detail +} // namespace nlohmann + + +// https://en.cppreference.com/w/cpp/experimental/is_detected +namespace nlohmann +{ +namespace detail +{ +struct nonesuch +{ + nonesuch() = delete; + ~nonesuch() = delete; + nonesuch(nonesuch const&) = delete; + nonesuch(nonesuch const&&) = delete; + void operator=(nonesuch const&) = delete; + void operator=(nonesuch&&) = delete; +}; + +template class Op, + class... Args> +struct detector +{ + using value_t = std::false_type; + using type = Default; +}; + +template class Op, class... Args> +struct detector>, Op, Args...> +{ + using value_t = std::true_type; + using type = Op; +}; + +template class Op, class... Args> +using is_detected = typename detector::value_t; + +template class Op, class... Args> +struct is_detected_lazy : is_detected { }; + +template class Op, class... Args> +using detected_t = typename detector::type; + +template class Op, class... Args> +using detected_or = detector; + +template class Op, class... Args> +using detected_or_t = typename detected_or::type; + +template class Op, class... Args> +using is_detected_exact = std::is_same>; + +template class Op, class... Args> +using is_detected_convertible = + std::is_convertible, To>; +} // namespace detail +} // namespace nlohmann + + +// This file contains all internal macro definitions +// You MUST include macro_unscope.hpp at the end of json.hpp to undef all of them + +// exclude unsupported compilers +#if !defined(JSON_SKIP_UNSUPPORTED_COMPILER_CHECK) + #if defined(__clang__) + #if (__clang_major__ * 10000 + __clang_minor__ * 100 + __clang_patchlevel__) < 30400 + #error "unsupported Clang version - see https://github.com/nlohmann/json#supported-compilers" + #endif + #elif defined(__GNUC__) && !(defined(__ICC) || defined(__INTEL_COMPILER)) + #if (__GNUC__ * 10000 + __GNUC_MINOR__ * 100 + __GNUC_PATCHLEVEL__) < 40800 + #error "unsupported GCC version - see https://github.com/nlohmann/json#supported-compilers" + #endif + #endif +#endif + +// C++ language standard detection +// if the user manually specified the used c++ version this is skipped +#if !defined(JSON_HAS_CPP_20) && !defined(JSON_HAS_CPP_17) && !defined(JSON_HAS_CPP_14) && !defined(JSON_HAS_CPP_11) + #if (defined(__cplusplus) && __cplusplus >= 202002L) || (defined(_MSVC_LANG) && _MSVC_LANG >= 202002L) + #define JSON_HAS_CPP_20 + #define JSON_HAS_CPP_17 + #define JSON_HAS_CPP_14 + #elif (defined(__cplusplus) && __cplusplus >= 201703L) || (defined(_HAS_CXX17) && _HAS_CXX17 == 1) // fix for issue #464 + #define JSON_HAS_CPP_17 + #define JSON_HAS_CPP_14 + #elif (defined(__cplusplus) && __cplusplus >= 201402L) || (defined(_HAS_CXX14) && _HAS_CXX14 == 1) + #define JSON_HAS_CPP_14 + #endif + // the cpp 11 flag is always specified because it is the minimal required version + #define JSON_HAS_CPP_11 +#endif + +#if !defined(JSON_HAS_FILESYSTEM) && !defined(JSON_HAS_EXPERIMENTAL_FILESYSTEM) + #ifdef JSON_HAS_CPP_17 + #if defined(__cpp_lib_filesystem) + #define JSON_HAS_FILESYSTEM 1 + #elif defined(__cpp_lib_experimental_filesystem) + #define JSON_HAS_EXPERIMENTAL_FILESYSTEM 1 + #elif !defined(__has_include) + #define JSON_HAS_EXPERIMENTAL_FILESYSTEM 1 + #elif __has_include() + #define JSON_HAS_FILESYSTEM 1 + #elif __has_include() + #define JSON_HAS_EXPERIMENTAL_FILESYSTEM 1 + #endif + + // std::filesystem does not work on MinGW GCC 8: https://sourceforge.net/p/mingw-w64/bugs/737/ + #if defined(__MINGW32__) && defined(__GNUC__) && __GNUC__ == 8 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + + // no filesystem support before GCC 8: https://en.cppreference.com/w/cpp/compiler_support + #if defined(__GNUC__) && !defined(__clang__) && __GNUC__ < 8 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + + // no filesystem support before Clang 7: https://en.cppreference.com/w/cpp/compiler_support + #if defined(__clang_major__) && __clang_major__ < 7 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + + // no filesystem support before MSVC 19.14: https://en.cppreference.com/w/cpp/compiler_support + #if defined(_MSC_VER) && _MSC_VER < 1914 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + + // no filesystem support before iOS 13 + #if defined(__IPHONE_OS_VERSION_MIN_REQUIRED) && __IPHONE_OS_VERSION_MIN_REQUIRED < 130000 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + + // no filesystem support before macOS Catalina + #if defined(__MAC_OS_X_VERSION_MIN_REQUIRED) && __MAC_OS_X_VERSION_MIN_REQUIRED < 101500 + #undef JSON_HAS_FILESYSTEM + #undef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #endif + #endif +#endif + +#ifndef JSON_HAS_EXPERIMENTAL_FILESYSTEM + #define JSON_HAS_EXPERIMENTAL_FILESYSTEM 0 +#endif + +#ifndef JSON_HAS_FILESYSTEM + #define JSON_HAS_FILESYSTEM 0 +#endif + +// disable documentation warnings on clang +#if defined(__clang__) + #pragma clang diagnostic push + #pragma clang diagnostic ignored "-Wdocumentation" + #pragma clang diagnostic ignored "-Wdocumentation-unknown-command" +#endif + +// allow disabling exceptions +#if (defined(__cpp_exceptions) || defined(__EXCEPTIONS) || defined(_CPPUNWIND)) && !defined(JSON_NOEXCEPTION) + #define JSON_THROW(exception) throw exception + #define JSON_TRY try + #define JSON_CATCH(exception) catch(exception) + #define JSON_INTERNAL_CATCH(exception) catch(exception) +#else + #include + #define JSON_THROW(exception) std::abort() + #define JSON_TRY if(true) + #define JSON_CATCH(exception) if(false) + #define JSON_INTERNAL_CATCH(exception) if(false) +#endif + +// override exception macros +#if defined(JSON_THROW_USER) + #undef JSON_THROW + #define JSON_THROW JSON_THROW_USER +#endif +#if defined(JSON_TRY_USER) + #undef JSON_TRY + #define JSON_TRY JSON_TRY_USER +#endif +#if defined(JSON_CATCH_USER) + #undef JSON_CATCH + #define JSON_CATCH JSON_CATCH_USER + #undef JSON_INTERNAL_CATCH + #define JSON_INTERNAL_CATCH JSON_CATCH_USER +#endif +#if defined(JSON_INTERNAL_CATCH_USER) + #undef JSON_INTERNAL_CATCH + #define JSON_INTERNAL_CATCH JSON_INTERNAL_CATCH_USER +#endif + +// allow overriding assert +#if !defined(JSON_ASSERT) + #include // assert + #define JSON_ASSERT(x) assert(x) +#endif + +// allow to access some private functions (needed by the test suite) +#if defined(JSON_TESTS_PRIVATE) + #define JSON_PRIVATE_UNLESS_TESTED public +#else + #define JSON_PRIVATE_UNLESS_TESTED private +#endif + +/*! +@brief macro to briefly define a mapping between an enum and JSON +@def NLOHMANN_JSON_SERIALIZE_ENUM +@since version 3.4.0 +*/ +#define NLOHMANN_JSON_SERIALIZE_ENUM(ENUM_TYPE, ...) \ + template \ + inline void to_json(BasicJsonType& j, const ENUM_TYPE& e) \ + { \ + static_assert(std::is_enum::value, #ENUM_TYPE " must be an enum!"); \ + static const std::pair m[] = __VA_ARGS__; \ + auto it = std::find_if(std::begin(m), std::end(m), \ + [e](const std::pair& ej_pair) -> bool \ + { \ + return ej_pair.first == e; \ + }); \ + j = ((it != std::end(m)) ? it : std::begin(m))->second; \ + } \ + template \ + inline void from_json(const BasicJsonType& j, ENUM_TYPE& e) \ + { \ + static_assert(std::is_enum::value, #ENUM_TYPE " must be an enum!"); \ + static const std::pair m[] = __VA_ARGS__; \ + auto it = std::find_if(std::begin(m), std::end(m), \ + [&j](const std::pair& ej_pair) -> bool \ + { \ + return ej_pair.second == j; \ + }); \ + e = ((it != std::end(m)) ? it : std::begin(m))->first; \ + } + +// Ugly macros to avoid uglier copy-paste when specializing basic_json. They +// may be removed in the future once the class is split. + +#define NLOHMANN_BASIC_JSON_TPL_DECLARATION \ + template class ObjectType, \ + template class ArrayType, \ + class StringType, class BooleanType, class NumberIntegerType, \ + class NumberUnsignedType, class NumberFloatType, \ + template class AllocatorType, \ + template class JSONSerializer, \ + class BinaryType> + +#define NLOHMANN_BASIC_JSON_TPL \ + basic_json + +// Macros to simplify conversion from/to types + +#define NLOHMANN_JSON_EXPAND( x ) x +#define NLOHMANN_JSON_GET_MACRO(_1, _2, _3, _4, _5, _6, _7, _8, _9, _10, _11, _12, _13, _14, _15, _16, _17, _18, _19, _20, _21, _22, _23, _24, _25, _26, _27, _28, _29, _30, _31, _32, _33, _34, _35, _36, _37, _38, _39, _40, _41, _42, _43, _44, _45, _46, _47, _48, _49, _50, _51, _52, _53, _54, _55, _56, _57, _58, _59, _60, _61, _62, _63, _64, NAME,...) NAME +#define NLOHMANN_JSON_PASTE(...) NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_GET_MACRO(__VA_ARGS__, \ + NLOHMANN_JSON_PASTE64, \ + NLOHMANN_JSON_PASTE63, \ + NLOHMANN_JSON_PASTE62, \ + NLOHMANN_JSON_PASTE61, \ + NLOHMANN_JSON_PASTE60, \ + NLOHMANN_JSON_PASTE59, \ + NLOHMANN_JSON_PASTE58, \ + NLOHMANN_JSON_PASTE57, \ + NLOHMANN_JSON_PASTE56, \ + NLOHMANN_JSON_PASTE55, \ + NLOHMANN_JSON_PASTE54, \ + NLOHMANN_JSON_PASTE53, \ + NLOHMANN_JSON_PASTE52, \ + NLOHMANN_JSON_PASTE51, \ + NLOHMANN_JSON_PASTE50, \ + NLOHMANN_JSON_PASTE49, \ + NLOHMANN_JSON_PASTE48, \ + NLOHMANN_JSON_PASTE47, \ + NLOHMANN_JSON_PASTE46, \ + NLOHMANN_JSON_PASTE45, \ + NLOHMANN_JSON_PASTE44, \ + NLOHMANN_JSON_PASTE43, \ + NLOHMANN_JSON_PASTE42, \ + NLOHMANN_JSON_PASTE41, \ + NLOHMANN_JSON_PASTE40, \ + NLOHMANN_JSON_PASTE39, \ + NLOHMANN_JSON_PASTE38, \ + NLOHMANN_JSON_PASTE37, \ + NLOHMANN_JSON_PASTE36, \ + NLOHMANN_JSON_PASTE35, \ + NLOHMANN_JSON_PASTE34, \ + NLOHMANN_JSON_PASTE33, \ + NLOHMANN_JSON_PASTE32, \ + NLOHMANN_JSON_PASTE31, \ + NLOHMANN_JSON_PASTE30, \ + NLOHMANN_JSON_PASTE29, \ + NLOHMANN_JSON_PASTE28, \ + NLOHMANN_JSON_PASTE27, \ + NLOHMANN_JSON_PASTE26, \ + NLOHMANN_JSON_PASTE25, \ + NLOHMANN_JSON_PASTE24, \ + NLOHMANN_JSON_PASTE23, \ + NLOHMANN_JSON_PASTE22, \ + NLOHMANN_JSON_PASTE21, \ + NLOHMANN_JSON_PASTE20, \ + NLOHMANN_JSON_PASTE19, \ + NLOHMANN_JSON_PASTE18, \ + NLOHMANN_JSON_PASTE17, \ + NLOHMANN_JSON_PASTE16, \ + NLOHMANN_JSON_PASTE15, \ + NLOHMANN_JSON_PASTE14, \ + NLOHMANN_JSON_PASTE13, \ + NLOHMANN_JSON_PASTE12, \ + NLOHMANN_JSON_PASTE11, \ + NLOHMANN_JSON_PASTE10, \ + NLOHMANN_JSON_PASTE9, \ + NLOHMANN_JSON_PASTE8, \ + NLOHMANN_JSON_PASTE7, \ + NLOHMANN_JSON_PASTE6, \ + NLOHMANN_JSON_PASTE5, \ + NLOHMANN_JSON_PASTE4, \ + NLOHMANN_JSON_PASTE3, \ + NLOHMANN_JSON_PASTE2, \ + NLOHMANN_JSON_PASTE1)(__VA_ARGS__)) +#define NLOHMANN_JSON_PASTE2(func, v1) func(v1) +#define NLOHMANN_JSON_PASTE3(func, v1, v2) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE2(func, v2) +#define NLOHMANN_JSON_PASTE4(func, v1, v2, v3) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE3(func, v2, v3) +#define NLOHMANN_JSON_PASTE5(func, v1, v2, v3, v4) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE4(func, v2, v3, v4) +#define NLOHMANN_JSON_PASTE6(func, v1, v2, v3, v4, v5) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE5(func, v2, v3, v4, v5) +#define NLOHMANN_JSON_PASTE7(func, v1, v2, v3, v4, v5, v6) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE6(func, v2, v3, v4, v5, v6) +#define NLOHMANN_JSON_PASTE8(func, v1, v2, v3, v4, v5, v6, v7) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE7(func, v2, v3, v4, v5, v6, v7) +#define NLOHMANN_JSON_PASTE9(func, v1, v2, v3, v4, v5, v6, v7, v8) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE8(func, v2, v3, v4, v5, v6, v7, v8) +#define NLOHMANN_JSON_PASTE10(func, v1, v2, v3, v4, v5, v6, v7, v8, v9) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE9(func, v2, v3, v4, v5, v6, v7, v8, v9) +#define NLOHMANN_JSON_PASTE11(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE10(func, v2, v3, v4, v5, v6, v7, v8, v9, v10) +#define NLOHMANN_JSON_PASTE12(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE11(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11) +#define NLOHMANN_JSON_PASTE13(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE12(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12) +#define NLOHMANN_JSON_PASTE14(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE13(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13) +#define NLOHMANN_JSON_PASTE15(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE14(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14) +#define NLOHMANN_JSON_PASTE16(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE15(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15) +#define NLOHMANN_JSON_PASTE17(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE16(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16) +#define NLOHMANN_JSON_PASTE18(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE17(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17) +#define NLOHMANN_JSON_PASTE19(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE18(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18) +#define NLOHMANN_JSON_PASTE20(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE19(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19) +#define NLOHMANN_JSON_PASTE21(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE20(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20) +#define NLOHMANN_JSON_PASTE22(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE21(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21) +#define NLOHMANN_JSON_PASTE23(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE22(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22) +#define NLOHMANN_JSON_PASTE24(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE23(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23) +#define NLOHMANN_JSON_PASTE25(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE24(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24) +#define NLOHMANN_JSON_PASTE26(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE25(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25) +#define NLOHMANN_JSON_PASTE27(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE26(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26) +#define NLOHMANN_JSON_PASTE28(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE27(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27) +#define NLOHMANN_JSON_PASTE29(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE28(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28) +#define NLOHMANN_JSON_PASTE30(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE29(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29) +#define NLOHMANN_JSON_PASTE31(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE30(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30) +#define NLOHMANN_JSON_PASTE32(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE31(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31) +#define NLOHMANN_JSON_PASTE33(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE32(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32) +#define NLOHMANN_JSON_PASTE34(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE33(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33) +#define NLOHMANN_JSON_PASTE35(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE34(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34) +#define NLOHMANN_JSON_PASTE36(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE35(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35) +#define NLOHMANN_JSON_PASTE37(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE36(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36) +#define NLOHMANN_JSON_PASTE38(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE37(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37) +#define NLOHMANN_JSON_PASTE39(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE38(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38) +#define NLOHMANN_JSON_PASTE40(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE39(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39) +#define NLOHMANN_JSON_PASTE41(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE40(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40) +#define NLOHMANN_JSON_PASTE42(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE41(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41) +#define NLOHMANN_JSON_PASTE43(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE42(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42) +#define NLOHMANN_JSON_PASTE44(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE43(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43) +#define NLOHMANN_JSON_PASTE45(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE44(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44) +#define NLOHMANN_JSON_PASTE46(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE45(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45) +#define NLOHMANN_JSON_PASTE47(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE46(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46) +#define NLOHMANN_JSON_PASTE48(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE47(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47) +#define NLOHMANN_JSON_PASTE49(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE48(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48) +#define NLOHMANN_JSON_PASTE50(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE49(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49) +#define NLOHMANN_JSON_PASTE51(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE50(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50) +#define NLOHMANN_JSON_PASTE52(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE51(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51) +#define NLOHMANN_JSON_PASTE53(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE52(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52) +#define NLOHMANN_JSON_PASTE54(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE53(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53) +#define NLOHMANN_JSON_PASTE55(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE54(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54) +#define NLOHMANN_JSON_PASTE56(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE55(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55) +#define NLOHMANN_JSON_PASTE57(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE56(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56) +#define NLOHMANN_JSON_PASTE58(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE57(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57) +#define NLOHMANN_JSON_PASTE59(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE58(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58) +#define NLOHMANN_JSON_PASTE60(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE59(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59) +#define NLOHMANN_JSON_PASTE61(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE60(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60) +#define NLOHMANN_JSON_PASTE62(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE61(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61) +#define NLOHMANN_JSON_PASTE63(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61, v62) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE62(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61, v62) +#define NLOHMANN_JSON_PASTE64(func, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61, v62, v63) NLOHMANN_JSON_PASTE2(func, v1) NLOHMANN_JSON_PASTE63(func, v2, v3, v4, v5, v6, v7, v8, v9, v10, v11, v12, v13, v14, v15, v16, v17, v18, v19, v20, v21, v22, v23, v24, v25, v26, v27, v28, v29, v30, v31, v32, v33, v34, v35, v36, v37, v38, v39, v40, v41, v42, v43, v44, v45, v46, v47, v48, v49, v50, v51, v52, v53, v54, v55, v56, v57, v58, v59, v60, v61, v62, v63) + +#define NLOHMANN_JSON_TO(v1) nlohmann_json_j[#v1] = nlohmann_json_t.v1; +#define NLOHMANN_JSON_FROM(v1) nlohmann_json_j.at(#v1).get_to(nlohmann_json_t.v1); +#define NLOHMANN_JSON_FROM_WITH_DEFAULT(v1) nlohmann_json_t.v1 = nlohmann_json_j.value(#v1, nlohmann_json_default_obj.v1); + +/*! +@brief macro +@def NLOHMANN_DEFINE_TYPE_INTRUSIVE +@since version 3.9.0 +*/ +#define NLOHMANN_DEFINE_TYPE_INTRUSIVE(Type, ...) \ + friend void to_json(nlohmann::json& nlohmann_json_j, const Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_TO, __VA_ARGS__)) } \ + friend void from_json(const nlohmann::json& nlohmann_json_j, Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_FROM, __VA_ARGS__)) } + +#define NLOHMANN_DEFINE_TYPE_INTRUSIVE_WITH_DEFAULT(Type, ...) \ + friend void to_json(nlohmann::json& nlohmann_json_j, const Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_TO, __VA_ARGS__)) } \ + friend void from_json(const nlohmann::json& nlohmann_json_j, Type& nlohmann_json_t) { Type nlohmann_json_default_obj; NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_FROM_WITH_DEFAULT, __VA_ARGS__)) } + +/*! +@brief macro +@def NLOHMANN_DEFINE_TYPE_NON_INTRUSIVE +@since version 3.9.0 +*/ +#define NLOHMANN_DEFINE_TYPE_NON_INTRUSIVE(Type, ...) \ + inline void to_json(nlohmann::json& nlohmann_json_j, const Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_TO, __VA_ARGS__)) } \ + inline void from_json(const nlohmann::json& nlohmann_json_j, Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_FROM, __VA_ARGS__)) } + +#define NLOHMANN_DEFINE_TYPE_NON_INTRUSIVE_WITH_DEFAULT(Type, ...) \ + inline void to_json(nlohmann::json& nlohmann_json_j, const Type& nlohmann_json_t) { NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_TO, __VA_ARGS__)) } \ + inline void from_json(const nlohmann::json& nlohmann_json_j, Type& nlohmann_json_t) { Type nlohmann_json_default_obj; NLOHMANN_JSON_EXPAND(NLOHMANN_JSON_PASTE(NLOHMANN_JSON_FROM_WITH_DEFAULT, __VA_ARGS__)) } + + +// inspired from https://stackoverflow.com/a/26745591 +// allows to call any std function as if (e.g. with begin): +// using std::begin; begin(x); +// +// it allows using the detected idiom to retrieve the return type +// of such an expression +#define NLOHMANN_CAN_CALL_STD_FUNC_IMPL(std_name) \ + namespace detail { \ + using std::std_name; \ + \ + template \ + using result_of_##std_name = decltype(std_name(std::declval()...)); \ + } \ + \ + namespace detail2 { \ + struct std_name##_tag \ + { \ + }; \ + \ + template \ + std_name##_tag std_name(T&&...); \ + \ + template \ + using result_of_##std_name = decltype(std_name(std::declval()...)); \ + \ + template \ + struct would_call_std_##std_name \ + { \ + static constexpr auto const value = ::nlohmann::detail:: \ + is_detected_exact::value; \ + }; \ + } /* namespace detail2 */ \ + \ + template \ + struct would_call_std_##std_name : detail2::would_call_std_##std_name \ + { \ + } + +#ifndef JSON_USE_IMPLICIT_CONVERSIONS + #define JSON_USE_IMPLICIT_CONVERSIONS 1 +#endif + +#if JSON_USE_IMPLICIT_CONVERSIONS + #define JSON_EXPLICIT +#else + #define JSON_EXPLICIT explicit +#endif + +#ifndef JSON_DIAGNOSTICS + #define JSON_DIAGNOSTICS 0 +#endif + + +namespace nlohmann +{ +namespace detail +{ + +/*! +@brief replace all occurrences of a substring by another string + +@param[in,out] s the string to manipulate; changed so that all + occurrences of @a f are replaced with @a t +@param[in] f the substring to replace with @a t +@param[in] t the string to replace @a f + +@pre The search string @a f must not be empty. **This precondition is +enforced with an assertion.** + +@since version 2.0.0 +*/ +inline void replace_substring(std::string& s, const std::string& f, + const std::string& t) +{ + JSON_ASSERT(!f.empty()); + for (auto pos = s.find(f); // find first occurrence of f + pos != std::string::npos; // make sure f was found + s.replace(pos, f.size(), t), // replace with t, and + pos = s.find(f, pos + t.size())) // find next occurrence of f + {} +} + +/*! + * @brief string escaping as described in RFC 6901 (Sect. 4) + * @param[in] s string to escape + * @return escaped string + * + * Note the order of escaping "~" to "~0" and "/" to "~1" is important. + */ +inline std::string escape(std::string s) +{ + replace_substring(s, "~", "~0"); + replace_substring(s, "/", "~1"); + return s; +} + +/*! + * @brief string unescaping as described in RFC 6901 (Sect. 4) + * @param[in] s string to unescape + * @return unescaped string + * + * Note the order of escaping "~1" to "/" and "~0" to "~" is important. + */ +static void unescape(std::string& s) +{ + replace_substring(s, "~1", "/"); + replace_substring(s, "~0", "~"); +} + +} // namespace detail +} // namespace nlohmann + +// #include + + +#include // size_t + +namespace nlohmann +{ +namespace detail +{ +/// struct to capture the start position of the current token +struct position_t +{ + /// the total number of characters read + std::size_t chars_read_total = 0; + /// the number of characters read in the current line + std::size_t chars_read_current_line = 0; + /// the number of lines read + std::size_t lines_read = 0; + + /// conversion to size_t to preserve SAX interface + constexpr operator size_t() const + { + return chars_read_total; + } +}; + +} // namespace detail +} // namespace nlohmann + +// #include + + +namespace nlohmann +{ +namespace detail +{ +//////////////// +// exceptions // +//////////////// + +/// @brief general exception of the @ref basic_json class +/// @sa https://json.nlohmann.me/api/basic_json/exception/ +class exception : public std::exception +{ + public: + /// returns the explanatory string + const char* what() const noexcept override + { + return m.what(); + } + + /// the id of the exception + const int id; // NOLINT(cppcoreguidelines-non-private-member-variables-in-classes) + + protected: + JSON_HEDLEY_NON_NULL(3) + exception(int id_, const char* what_arg) : id(id_), m(what_arg) {} // NOLINT(bugprone-throw-keyword-missing) + + static std::string name(const std::string& ename, int id_) + { + return "[json.exception." + ename + "." + std::to_string(id_) + "] "; + } + + template + static std::string diagnostics(const BasicJsonType& leaf_element) + { +#if JSON_DIAGNOSTICS + std::vector tokens; + for (const auto* current = &leaf_element; current->m_parent != nullptr; current = current->m_parent) + { + switch (current->m_parent->type()) + { + case value_t::array: + { + for (std::size_t i = 0; i < current->m_parent->m_value.array->size(); ++i) + { + if (¤t->m_parent->m_value.array->operator[](i) == current) + { + tokens.emplace_back(std::to_string(i)); + break; + } + } + break; + } + + case value_t::object: + { + for (const auto& element : *current->m_parent->m_value.object) + { + if (&element.second == current) + { + tokens.emplace_back(element.first.c_str()); + break; + } + } + break; + } + + case value_t::null: // LCOV_EXCL_LINE + case value_t::string: // LCOV_EXCL_LINE + case value_t::boolean: // LCOV_EXCL_LINE + case value_t::number_integer: // LCOV_EXCL_LINE + case value_t::number_unsigned: // LCOV_EXCL_LINE + case value_t::number_float: // LCOV_EXCL_LINE + case value_t::binary: // LCOV_EXCL_LINE + case value_t::discarded: // LCOV_EXCL_LINE + default: // LCOV_EXCL_LINE + break; // LCOV_EXCL_LINE + } + } + + if (tokens.empty()) + { + return ""; + } + + return "(" + std::accumulate(tokens.rbegin(), tokens.rend(), std::string{}, + [](const std::string & a, const std::string & b) + { + return a + "/" + detail::escape(b); + }) + ") "; +#else + static_cast(leaf_element); + return ""; +#endif + } + + private: + /// an exception object as storage for error messages + std::runtime_error m; +}; + +/// @brief exception indicating a parse error +/// @sa https://json.nlohmann.me/api/basic_json/parse_error/ +class parse_error : public exception +{ + public: + /*! + @brief create a parse error exception + @param[in] id_ the id of the exception + @param[in] pos the position where the error occurred (or with + chars_read_total=0 if the position cannot be + determined) + @param[in] what_arg the explanatory string + @return parse_error object + */ + template + static parse_error create(int id_, const position_t& pos, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("parse_error", id_) + "parse error" + + position_string(pos) + ": " + exception::diagnostics(context) + what_arg; + return {id_, pos.chars_read_total, w.c_str()}; + } + + template + static parse_error create(int id_, std::size_t byte_, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("parse_error", id_) + "parse error" + + (byte_ != 0 ? (" at byte " + std::to_string(byte_)) : "") + + ": " + exception::diagnostics(context) + what_arg; + return {id_, byte_, w.c_str()}; + } + + /*! + @brief byte index of the parse error + + The byte index of the last read character in the input file. + + @note For an input with n bytes, 1 is the index of the first character and + n+1 is the index of the terminating null byte or the end of file. + This also holds true when reading a byte vector (CBOR or MessagePack). + */ + const std::size_t byte; + + private: + parse_error(int id_, std::size_t byte_, const char* what_arg) + : exception(id_, what_arg), byte(byte_) {} + + static std::string position_string(const position_t& pos) + { + return " at line " + std::to_string(pos.lines_read + 1) + + ", column " + std::to_string(pos.chars_read_current_line); + } +}; + +/// @brief exception indicating errors with iterators +/// @sa https://json.nlohmann.me/api/basic_json/invalid_iterator/ +class invalid_iterator : public exception +{ + public: + template + static invalid_iterator create(int id_, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("invalid_iterator", id_) + exception::diagnostics(context) + what_arg; + return {id_, w.c_str()}; + } + + private: + JSON_HEDLEY_NON_NULL(3) + invalid_iterator(int id_, const char* what_arg) + : exception(id_, what_arg) {} +}; + +/// @brief exception indicating executing a member function with a wrong type +/// @sa https://json.nlohmann.me/api/basic_json/type_error/ +class type_error : public exception +{ + public: + template + static type_error create(int id_, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("type_error", id_) + exception::diagnostics(context) + what_arg; + return {id_, w.c_str()}; + } + + private: + JSON_HEDLEY_NON_NULL(3) + type_error(int id_, const char* what_arg) : exception(id_, what_arg) {} +}; + +/// @brief exception indicating access out of the defined range +/// @sa https://json.nlohmann.me/api/basic_json/out_of_range/ +class out_of_range : public exception +{ + public: + template + static out_of_range create(int id_, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("out_of_range", id_) + exception::diagnostics(context) + what_arg; + return {id_, w.c_str()}; + } + + private: + JSON_HEDLEY_NON_NULL(3) + out_of_range(int id_, const char* what_arg) : exception(id_, what_arg) {} +}; + +/// @brief exception indicating other library errors +/// @sa https://json.nlohmann.me/api/basic_json/other_error/ +class other_error : public exception +{ + public: + template + static other_error create(int id_, const std::string& what_arg, const BasicJsonType& context) + { + std::string w = exception::name("other_error", id_) + exception::diagnostics(context) + what_arg; + return {id_, w.c_str()}; + } + + private: + JSON_HEDLEY_NON_NULL(3) + other_error(int id_, const char* what_arg) : exception(id_, what_arg) {} +}; + +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +#include // size_t +#include // conditional, enable_if, false_type, integral_constant, is_constructible, is_integral, is_same, remove_cv, remove_reference, true_type +#include // index_sequence, make_index_sequence, index_sequence_for + +// #include + + +namespace nlohmann +{ +namespace detail +{ + +template +using uncvref_t = typename std::remove_cv::type>::type; + +#ifdef JSON_HAS_CPP_14 + +// the following utilities are natively available in C++14 +using std::enable_if_t; +using std::index_sequence; +using std::make_index_sequence; +using std::index_sequence_for; + +#else + +// alias templates to reduce boilerplate +template +using enable_if_t = typename std::enable_if::type; + +// The following code is taken from https://github.com/abseil/abseil-cpp/blob/10cb35e459f5ecca5b2ff107635da0bfa41011b4/absl/utility/utility.h +// which is part of Google Abseil (https://github.com/abseil/abseil-cpp), licensed under the Apache License 2.0. + +//// START OF CODE FROM GOOGLE ABSEIL + +// integer_sequence +// +// Class template representing a compile-time integer sequence. An instantiation +// of `integer_sequence` has a sequence of integers encoded in its +// type through its template arguments (which is a common need when +// working with C++11 variadic templates). `absl::integer_sequence` is designed +// to be a drop-in replacement for C++14's `std::integer_sequence`. +// +// Example: +// +// template< class T, T... Ints > +// void user_function(integer_sequence); +// +// int main() +// { +// // user_function's `T` will be deduced to `int` and `Ints...` +// // will be deduced to `0, 1, 2, 3, 4`. +// user_function(make_integer_sequence()); +// } +template +struct integer_sequence +{ + using value_type = T; + static constexpr std::size_t size() noexcept + { + return sizeof...(Ints); + } +}; + +// index_sequence +// +// A helper template for an `integer_sequence` of `size_t`, +// `absl::index_sequence` is designed to be a drop-in replacement for C++14's +// `std::index_sequence`. +template +using index_sequence = integer_sequence; + +namespace utility_internal +{ + +template +struct Extend; + +// Note that SeqSize == sizeof...(Ints). It's passed explicitly for efficiency. +template +struct Extend, SeqSize, 0> +{ + using type = integer_sequence < T, Ints..., (Ints + SeqSize)... >; +}; + +template +struct Extend, SeqSize, 1> +{ + using type = integer_sequence < T, Ints..., (Ints + SeqSize)..., 2 * SeqSize >; +}; + +// Recursion helper for 'make_integer_sequence'. +// 'Gen::type' is an alias for 'integer_sequence'. +template +struct Gen +{ + using type = + typename Extend < typename Gen < T, N / 2 >::type, N / 2, N % 2 >::type; +}; + +template +struct Gen +{ + using type = integer_sequence; +}; + +} // namespace utility_internal + +// Compile-time sequences of integers + +// make_integer_sequence +// +// This template alias is equivalent to +// `integer_sequence`, and is designed to be a drop-in +// replacement for C++14's `std::make_integer_sequence`. +template +using make_integer_sequence = typename utility_internal::Gen::type; + +// make_index_sequence +// +// This template alias is equivalent to `index_sequence<0, 1, ..., N-1>`, +// and is designed to be a drop-in replacement for C++14's +// `std::make_index_sequence`. +template +using make_index_sequence = make_integer_sequence; + +// index_sequence_for +// +// Converts a typename pack into an index sequence of the same length, and +// is designed to be a drop-in replacement for C++14's +// `std::index_sequence_for()` +template +using index_sequence_for = make_index_sequence; + +//// END OF CODE FROM GOOGLE ABSEIL + +#endif + +// dispatch utility (taken from ranges-v3) +template struct priority_tag : priority_tag < N - 1 > {}; +template<> struct priority_tag<0> {}; + +// taken from ranges-v3 +template +struct static_const +{ + static constexpr T value{}; +}; + +template +constexpr T static_const::value; // NOLINT(readability-redundant-declaration) + +} // namespace detail +} // namespace nlohmann + +// #include + + +namespace nlohmann +{ +namespace detail +{ +// dispatching helper struct +template struct identity_tag {}; +} // namespace detail +} // namespace nlohmann + +// #include + + +#include // numeric_limits +#include // false_type, is_constructible, is_integral, is_same, true_type +#include // declval +#include // tuple + +// #include + + +// #include + + +#include // random_access_iterator_tag + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +template +struct iterator_types {}; + +template +struct iterator_types < + It, + void_t> +{ + using difference_type = typename It::difference_type; + using value_type = typename It::value_type; + using pointer = typename It::pointer; + using reference = typename It::reference; + using iterator_category = typename It::iterator_category; +}; + +// This is required as some compilers implement std::iterator_traits in a way that +// doesn't work with SFINAE. See https://github.com/nlohmann/json/issues/1341. +template +struct iterator_traits +{ +}; + +template +struct iterator_traits < T, enable_if_t < !std::is_pointer::value >> + : iterator_types +{ +}; + +template +struct iterator_traits::value>> +{ + using iterator_category = std::random_access_iterator_tag; + using value_type = T; + using difference_type = ptrdiff_t; + using pointer = T*; + using reference = T&; +}; +} // namespace detail +} // namespace nlohmann + +// #include + + +// #include + + +namespace nlohmann +{ +NLOHMANN_CAN_CALL_STD_FUNC_IMPL(begin); +} // namespace nlohmann + +// #include + + +// #include + + +namespace nlohmann +{ +NLOHMANN_CAN_CALL_STD_FUNC_IMPL(end); +} // namespace nlohmann + +// #include + +// #include + +// #include +#ifndef INCLUDE_NLOHMANN_JSON_FWD_HPP_ +#define INCLUDE_NLOHMANN_JSON_FWD_HPP_ + +#include // int64_t, uint64_t +#include // map +#include // allocator +#include // string +#include // vector + +/*! +@brief namespace for Niels Lohmann +@see https://github.com/nlohmann +@since version 1.0.0 +*/ +namespace nlohmann +{ +/*! +@brief default JSONSerializer template argument + +This serializer ignores the template arguments and uses ADL +([argument-dependent lookup](https://en.cppreference.com/w/cpp/language/adl)) +for serialization. +*/ +template +struct adl_serializer; + +/// a class to store JSON values +/// @sa https://json.nlohmann.me/api/basic_json/ +template class ObjectType = + std::map, + template class ArrayType = std::vector, + class StringType = std::string, class BooleanType = bool, + class NumberIntegerType = std::int64_t, + class NumberUnsignedType = std::uint64_t, + class NumberFloatType = double, + template class AllocatorType = std::allocator, + template class JSONSerializer = + adl_serializer, + class BinaryType = std::vector> +class basic_json; + +/// @brief JSON Pointer defines a string syntax for identifying a specific value within a JSON document +/// @sa https://json.nlohmann.me/api/json_pointer/ +template +class json_pointer; + +/*! +@brief default specialization +@sa https://json.nlohmann.me/api/json/ +*/ +using json = basic_json<>; + +/// @brief a minimal map-like container that preserves insertion order +/// @sa https://json.nlohmann.me/api/ordered_map/ +template +struct ordered_map; + +/// @brief specialization that maintains the insertion order of object keys +/// @sa https://json.nlohmann.me/api/ordered_json/ +using ordered_json = basic_json; + +} // namespace nlohmann + +#endif // INCLUDE_NLOHMANN_JSON_FWD_HPP_ + + +namespace nlohmann +{ +/*! +@brief detail namespace with internal helper functions + +This namespace collects functions that should not be exposed, +implementations of some @ref basic_json methods, and meta-programming helpers. + +@since version 2.1.0 +*/ +namespace detail +{ +///////////// +// helpers // +///////////// + +// Note to maintainers: +// +// Every trait in this file expects a non CV-qualified type. +// The only exceptions are in the 'aliases for detected' section +// (i.e. those of the form: decltype(T::member_function(std::declval()))) +// +// In this case, T has to be properly CV-qualified to constraint the function arguments +// (e.g. to_json(BasicJsonType&, const T&)) + +template struct is_basic_json : std::false_type {}; + +NLOHMANN_BASIC_JSON_TPL_DECLARATION +struct is_basic_json : std::true_type {}; + +////////////////////// +// json_ref helpers // +////////////////////// + +template +class json_ref; + +template +struct is_json_ref : std::false_type {}; + +template +struct is_json_ref> : std::true_type {}; + +////////////////////////// +// aliases for detected // +////////////////////////// + +template +using mapped_type_t = typename T::mapped_type; + +template +using key_type_t = typename T::key_type; + +template +using value_type_t = typename T::value_type; + +template +using difference_type_t = typename T::difference_type; + +template +using pointer_t = typename T::pointer; + +template +using reference_t = typename T::reference; + +template +using iterator_category_t = typename T::iterator_category; + +template +using to_json_function = decltype(T::to_json(std::declval()...)); + +template +using from_json_function = decltype(T::from_json(std::declval()...)); + +template +using get_template_function = decltype(std::declval().template get()); + +// trait checking if JSONSerializer::from_json(json const&, udt&) exists +template +struct has_from_json : std::false_type {}; + +// trait checking if j.get is valid +// use this trait instead of std::is_constructible or std::is_convertible, +// both rely on, or make use of implicit conversions, and thus fail when T +// has several constructors/operator= (see https://github.com/nlohmann/json/issues/958) +template +struct is_getable +{ + static constexpr bool value = is_detected::value; +}; + +template +struct has_from_json < BasicJsonType, T, enable_if_t < !is_basic_json::value >> +{ + using serializer = typename BasicJsonType::template json_serializer; + + static constexpr bool value = + is_detected_exact::value; +}; + +// This trait checks if JSONSerializer::from_json(json const&) exists +// this overload is used for non-default-constructible user-defined-types +template +struct has_non_default_from_json : std::false_type {}; + +template +struct has_non_default_from_json < BasicJsonType, T, enable_if_t < !is_basic_json::value >> +{ + using serializer = typename BasicJsonType::template json_serializer; + + static constexpr bool value = + is_detected_exact::value; +}; + +// This trait checks if BasicJsonType::json_serializer::to_json exists +// Do not evaluate the trait when T is a basic_json type, to avoid template instantiation infinite recursion. +template +struct has_to_json : std::false_type {}; + +template +struct has_to_json < BasicJsonType, T, enable_if_t < !is_basic_json::value >> +{ + using serializer = typename BasicJsonType::template json_serializer; + + static constexpr bool value = + is_detected_exact::value; +}; + + +/////////////////// +// is_ functions // +/////////////////// + +// https://en.cppreference.com/w/cpp/types/conjunction +template struct conjunction : std::true_type { }; +template struct conjunction : B1 { }; +template +struct conjunction +: std::conditional, B1>::type {}; + +// https://en.cppreference.com/w/cpp/types/negation +template struct negation : std::integral_constant < bool, !B::value > { }; + +// Reimplementation of is_constructible and is_default_constructible, due to them being broken for +// std::pair and std::tuple until LWG 2367 fix (see https://cplusplus.github.io/LWG/lwg-defects.html#2367). +// This causes compile errors in e.g. clang 3.5 or gcc 4.9. +template +struct is_default_constructible : std::is_default_constructible {}; + +template +struct is_default_constructible> + : conjunction, is_default_constructible> {}; + +template +struct is_default_constructible> + : conjunction, is_default_constructible> {}; + +template +struct is_default_constructible> + : conjunction...> {}; + +template +struct is_default_constructible> + : conjunction...> {}; + + +template +struct is_constructible : std::is_constructible {}; + +template +struct is_constructible> : is_default_constructible> {}; + +template +struct is_constructible> : is_default_constructible> {}; + +template +struct is_constructible> : is_default_constructible> {}; + +template +struct is_constructible> : is_default_constructible> {}; + + +template +struct is_iterator_traits : std::false_type {}; + +template +struct is_iterator_traits> +{ + private: + using traits = iterator_traits; + + public: + static constexpr auto value = + is_detected::value && + is_detected::value && + is_detected::value && + is_detected::value && + is_detected::value; +}; + +template +struct is_range +{ + private: + using t_ref = typename std::add_lvalue_reference::type; + + using iterator = detected_t; + using sentinel = detected_t; + + // to be 100% correct, it should use https://en.cppreference.com/w/cpp/iterator/input_or_output_iterator + // and https://en.cppreference.com/w/cpp/iterator/sentinel_for + // but reimplementing these would be too much work, as a lot of other concepts are used underneath + static constexpr auto is_iterator_begin = + is_iterator_traits>::value; + + public: + static constexpr bool value = !std::is_same::value && !std::is_same::value && is_iterator_begin; +}; + +template +using iterator_t = enable_if_t::value, result_of_begin())>>; + +template +using range_value_t = value_type_t>>; + +// The following implementation of is_complete_type is taken from +// https://blogs.msdn.microsoft.com/vcblog/2015/12/02/partial-support-for-expression-sfinae-in-vs-2015-update-1/ +// and is written by Xiang Fan who agreed to using it in this library. + +template +struct is_complete_type : std::false_type {}; + +template +struct is_complete_type : std::true_type {}; + +template +struct is_compatible_object_type_impl : std::false_type {}; + +template +struct is_compatible_object_type_impl < + BasicJsonType, CompatibleObjectType, + enable_if_t < is_detected::value&& + is_detected::value >> +{ + using object_t = typename BasicJsonType::object_t; + + // macOS's is_constructible does not play well with nonesuch... + static constexpr bool value = + is_constructible::value && + is_constructible::value; +}; + +template +struct is_compatible_object_type + : is_compatible_object_type_impl {}; + +template +struct is_constructible_object_type_impl : std::false_type {}; + +template +struct is_constructible_object_type_impl < + BasicJsonType, ConstructibleObjectType, + enable_if_t < is_detected::value&& + is_detected::value >> +{ + using object_t = typename BasicJsonType::object_t; + + static constexpr bool value = + (is_default_constructible::value && + (std::is_move_assignable::value || + std::is_copy_assignable::value) && + (is_constructible::value && + std::is_same < + typename object_t::mapped_type, + typename ConstructibleObjectType::mapped_type >::value)) || + (has_from_json::value || + has_non_default_from_json < + BasicJsonType, + typename ConstructibleObjectType::mapped_type >::value); +}; + +template +struct is_constructible_object_type + : is_constructible_object_type_impl {}; + +template +struct is_compatible_string_type +{ + static constexpr auto value = + is_constructible::value; +}; + +template +struct is_constructible_string_type +{ + static constexpr auto value = + is_constructible::value; +}; + +template +struct is_compatible_array_type_impl : std::false_type {}; + +template +struct is_compatible_array_type_impl < + BasicJsonType, CompatibleArrayType, + enable_if_t < + is_detected::value&& + is_iterator_traits>>::value&& +// special case for types like std::filesystem::path whose iterator's value_type are themselves +// c.f. https://github.com/nlohmann/json/pull/3073 + !std::is_same>::value >> +{ + static constexpr bool value = + is_constructible>::value; +}; + +template +struct is_compatible_array_type + : is_compatible_array_type_impl {}; + +template +struct is_constructible_array_type_impl : std::false_type {}; + +template +struct is_constructible_array_type_impl < + BasicJsonType, ConstructibleArrayType, + enable_if_t::value >> + : std::true_type {}; + +template +struct is_constructible_array_type_impl < + BasicJsonType, ConstructibleArrayType, + enable_if_t < !std::is_same::value&& + !is_compatible_string_type::value&& + is_default_constructible::value&& +(std::is_move_assignable::value || + std::is_copy_assignable::value)&& +is_detected::value&& +is_iterator_traits>>::value&& +is_detected::value&& +// special case for types like std::filesystem::path whose iterator's value_type are themselves +// c.f. https://github.com/nlohmann/json/pull/3073 +!std::is_same>::value&& + is_complete_type < + detected_t>::value >> +{ + using value_type = range_value_t; + + static constexpr bool value = + std::is_same::value || + has_from_json::value || + has_non_default_from_json < + BasicJsonType, + value_type >::value; +}; + +template +struct is_constructible_array_type + : is_constructible_array_type_impl {}; + +template +struct is_compatible_integer_type_impl : std::false_type {}; + +template +struct is_compatible_integer_type_impl < + RealIntegerType, CompatibleNumberIntegerType, + enable_if_t < std::is_integral::value&& + std::is_integral::value&& + !std::is_same::value >> +{ + // is there an assert somewhere on overflows? + using RealLimits = std::numeric_limits; + using CompatibleLimits = std::numeric_limits; + + static constexpr auto value = + is_constructible::value && + CompatibleLimits::is_integer && + RealLimits::is_signed == CompatibleLimits::is_signed; +}; + +template +struct is_compatible_integer_type + : is_compatible_integer_type_impl {}; + +template +struct is_compatible_type_impl: std::false_type {}; + +template +struct is_compatible_type_impl < + BasicJsonType, CompatibleType, + enable_if_t::value >> +{ + static constexpr bool value = + has_to_json::value; +}; + +template +struct is_compatible_type + : is_compatible_type_impl {}; + +template +struct is_constructible_tuple : std::false_type {}; + +template +struct is_constructible_tuple> : conjunction...> {}; + +// a naive helper to check if a type is an ordered_map (exploits the fact that +// ordered_map inherits capacity() from std::vector) +template +struct is_ordered_map +{ + using one = char; + + struct two + { + char x[2]; // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) + }; + + template static one test( decltype(&C::capacity) ) ; + template static two test(...); + + enum { value = sizeof(test(nullptr)) == sizeof(char) }; // NOLINT(cppcoreguidelines-pro-type-vararg,hicpp-vararg) +}; + +// to avoid useless casts (see https://github.com/nlohmann/json/issues/2893#issuecomment-889152324) +template < typename T, typename U, enable_if_t < !std::is_same::value, int > = 0 > +T conditional_static_cast(U value) +{ + return static_cast(value); +} + +template::value, int> = 0> +T conditional_static_cast(U value) +{ + return value; +} + +} // namespace detail +} // namespace nlohmann + +// #include + + +#if JSON_HAS_EXPERIMENTAL_FILESYSTEM +#include +namespace nlohmann::detail +{ +namespace std_fs = std::experimental::filesystem; +} // namespace nlohmann::detail +#elif JSON_HAS_FILESYSTEM +#include +namespace nlohmann::detail +{ +namespace std_fs = std::filesystem; +} // namespace nlohmann::detail +#endif + +namespace nlohmann +{ +namespace detail +{ +template +void from_json(const BasicJsonType& j, typename std::nullptr_t& n) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_null())) + { + JSON_THROW(type_error::create(302, "type must be null, but is " + std::string(j.type_name()), j)); + } + n = nullptr; +} + +// overloads for basic_json template parameters +template < typename BasicJsonType, typename ArithmeticType, + enable_if_t < std::is_arithmetic::value&& + !std::is_same::value, + int > = 0 > +void get_arithmetic_value(const BasicJsonType& j, ArithmeticType& val) +{ + switch (static_cast(j)) + { + case value_t::number_unsigned: + { + val = static_cast(*j.template get_ptr()); + break; + } + case value_t::number_integer: + { + val = static_cast(*j.template get_ptr()); + break; + } + case value_t::number_float: + { + val = static_cast(*j.template get_ptr()); + break; + } + + case value_t::null: + case value_t::object: + case value_t::array: + case value_t::string: + case value_t::boolean: + case value_t::binary: + case value_t::discarded: + default: + JSON_THROW(type_error::create(302, "type must be number, but is " + std::string(j.type_name()), j)); + } +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::boolean_t& b) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_boolean())) + { + JSON_THROW(type_error::create(302, "type must be boolean, but is " + std::string(j.type_name()), j)); + } + b = *j.template get_ptr(); +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::string_t& s) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_string())) + { + JSON_THROW(type_error::create(302, "type must be string, but is " + std::string(j.type_name()), j)); + } + s = *j.template get_ptr(); +} + +template < + typename BasicJsonType, typename ConstructibleStringType, + enable_if_t < + is_constructible_string_type::value&& + !std::is_same::value, + int > = 0 > +void from_json(const BasicJsonType& j, ConstructibleStringType& s) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_string())) + { + JSON_THROW(type_error::create(302, "type must be string, but is " + std::string(j.type_name()), j)); + } + + s = *j.template get_ptr(); +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::number_float_t& val) +{ + get_arithmetic_value(j, val); +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::number_unsigned_t& val) +{ + get_arithmetic_value(j, val); +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::number_integer_t& val) +{ + get_arithmetic_value(j, val); +} + +template::value, int> = 0> +void from_json(const BasicJsonType& j, EnumType& e) +{ + typename std::underlying_type::type val; + get_arithmetic_value(j, val); + e = static_cast(val); +} + +// forward_list doesn't have an insert method +template::value, int> = 0> +void from_json(const BasicJsonType& j, std::forward_list& l) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + l.clear(); + std::transform(j.rbegin(), j.rend(), + std::front_inserter(l), [](const BasicJsonType & i) + { + return i.template get(); + }); +} + +// valarray doesn't have an insert method +template::value, int> = 0> +void from_json(const BasicJsonType& j, std::valarray& l) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + l.resize(j.size()); + std::transform(j.begin(), j.end(), std::begin(l), + [](const BasicJsonType & elem) + { + return elem.template get(); + }); +} + +template +auto from_json(const BasicJsonType& j, T (&arr)[N]) // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) +-> decltype(j.template get(), void()) +{ + for (std::size_t i = 0; i < N; ++i) + { + arr[i] = j.at(i).template get(); + } +} + +template +void from_json_array_impl(const BasicJsonType& j, typename BasicJsonType::array_t& arr, priority_tag<3> /*unused*/) +{ + arr = *j.template get_ptr(); +} + +template +auto from_json_array_impl(const BasicJsonType& j, std::array& arr, + priority_tag<2> /*unused*/) +-> decltype(j.template get(), void()) +{ + for (std::size_t i = 0; i < N; ++i) + { + arr[i] = j.at(i).template get(); + } +} + +template::value, + int> = 0> +auto from_json_array_impl(const BasicJsonType& j, ConstructibleArrayType& arr, priority_tag<1> /*unused*/) +-> decltype( + arr.reserve(std::declval()), + j.template get(), + void()) +{ + using std::end; + + ConstructibleArrayType ret; + ret.reserve(j.size()); + std::transform(j.begin(), j.end(), + std::inserter(ret, end(ret)), [](const BasicJsonType & i) + { + // get() returns *this, this won't call a from_json + // method when value_type is BasicJsonType + return i.template get(); + }); + arr = std::move(ret); +} + +template::value, + int> = 0> +void from_json_array_impl(const BasicJsonType& j, ConstructibleArrayType& arr, + priority_tag<0> /*unused*/) +{ + using std::end; + + ConstructibleArrayType ret; + std::transform( + j.begin(), j.end(), std::inserter(ret, end(ret)), + [](const BasicJsonType & i) + { + // get() returns *this, this won't call a from_json + // method when value_type is BasicJsonType + return i.template get(); + }); + arr = std::move(ret); +} + +template < typename BasicJsonType, typename ConstructibleArrayType, + enable_if_t < + is_constructible_array_type::value&& + !is_constructible_object_type::value&& + !is_constructible_string_type::value&& + !std::is_same::value&& + !is_basic_json::value, + int > = 0 > +auto from_json(const BasicJsonType& j, ConstructibleArrayType& arr) +-> decltype(from_json_array_impl(j, arr, priority_tag<3> {}), +j.template get(), +void()) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + + from_json_array_impl(j, arr, priority_tag<3> {}); +} + +template < typename BasicJsonType, typename T, std::size_t... Idx > +std::array from_json_inplace_array_impl(BasicJsonType&& j, + identity_tag> /*unused*/, index_sequence /*unused*/) +{ + return { { std::forward(j).at(Idx).template get()... } }; +} + +template < typename BasicJsonType, typename T, std::size_t N > +auto from_json(BasicJsonType&& j, identity_tag> tag) +-> decltype(from_json_inplace_array_impl(std::forward(j), tag, make_index_sequence {})) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + + return from_json_inplace_array_impl(std::forward(j), tag, make_index_sequence {}); +} + +template +void from_json(const BasicJsonType& j, typename BasicJsonType::binary_t& bin) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_binary())) + { + JSON_THROW(type_error::create(302, "type must be binary, but is " + std::string(j.type_name()), j)); + } + + bin = *j.template get_ptr(); +} + +template::value, int> = 0> +void from_json(const BasicJsonType& j, ConstructibleObjectType& obj) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_object())) + { + JSON_THROW(type_error::create(302, "type must be object, but is " + std::string(j.type_name()), j)); + } + + ConstructibleObjectType ret; + const auto* inner_object = j.template get_ptr(); + using value_type = typename ConstructibleObjectType::value_type; + std::transform( + inner_object->begin(), inner_object->end(), + std::inserter(ret, ret.begin()), + [](typename BasicJsonType::object_t::value_type const & p) + { + return value_type(p.first, p.second.template get()); + }); + obj = std::move(ret); +} + +// overload for arithmetic types, not chosen for basic_json template arguments +// (BooleanType, etc..); note: Is it really necessary to provide explicit +// overloads for boolean_t etc. in case of a custom BooleanType which is not +// an arithmetic type? +template < typename BasicJsonType, typename ArithmeticType, + enable_if_t < + std::is_arithmetic::value&& + !std::is_same::value&& + !std::is_same::value&& + !std::is_same::value&& + !std::is_same::value, + int > = 0 > +void from_json(const BasicJsonType& j, ArithmeticType& val) +{ + switch (static_cast(j)) + { + case value_t::number_unsigned: + { + val = static_cast(*j.template get_ptr()); + break; + } + case value_t::number_integer: + { + val = static_cast(*j.template get_ptr()); + break; + } + case value_t::number_float: + { + val = static_cast(*j.template get_ptr()); + break; + } + case value_t::boolean: + { + val = static_cast(*j.template get_ptr()); + break; + } + + case value_t::null: + case value_t::object: + case value_t::array: + case value_t::string: + case value_t::binary: + case value_t::discarded: + default: + JSON_THROW(type_error::create(302, "type must be number, but is " + std::string(j.type_name()), j)); + } +} + +template +std::tuple from_json_tuple_impl_base(BasicJsonType&& j, index_sequence /*unused*/) +{ + return std::make_tuple(std::forward(j).at(Idx).template get()...); +} + +template < typename BasicJsonType, class A1, class A2 > +std::pair from_json_tuple_impl(BasicJsonType&& j, identity_tag> /*unused*/, priority_tag<0> /*unused*/) +{ + return {std::forward(j).at(0).template get(), + std::forward(j).at(1).template get()}; +} + +template +void from_json_tuple_impl(BasicJsonType&& j, std::pair& p, priority_tag<1> /*unused*/) +{ + p = from_json_tuple_impl(std::forward(j), identity_tag> {}, priority_tag<0> {}); +} + +template +std::tuple from_json_tuple_impl(BasicJsonType&& j, identity_tag> /*unused*/, priority_tag<2> /*unused*/) +{ + return from_json_tuple_impl_base(std::forward(j), index_sequence_for {}); +} + +template +void from_json_tuple_impl(BasicJsonType&& j, std::tuple& t, priority_tag<3> /*unused*/) +{ + t = from_json_tuple_impl_base(std::forward(j), index_sequence_for {}); +} + +template +auto from_json(BasicJsonType&& j, TupleRelated&& t) +-> decltype(from_json_tuple_impl(std::forward(j), std::forward(t), priority_tag<3> {})) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + + return from_json_tuple_impl(std::forward(j), std::forward(t), priority_tag<3> {}); +} + +template < typename BasicJsonType, typename Key, typename Value, typename Compare, typename Allocator, + typename = enable_if_t < !std::is_constructible < + typename BasicJsonType::string_t, Key >::value >> +void from_json(const BasicJsonType& j, std::map& m) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + m.clear(); + for (const auto& p : j) + { + if (JSON_HEDLEY_UNLIKELY(!p.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(p.type_name()), j)); + } + m.emplace(p.at(0).template get(), p.at(1).template get()); + } +} + +template < typename BasicJsonType, typename Key, typename Value, typename Hash, typename KeyEqual, typename Allocator, + typename = enable_if_t < !std::is_constructible < + typename BasicJsonType::string_t, Key >::value >> +void from_json(const BasicJsonType& j, std::unordered_map& m) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(j.type_name()), j)); + } + m.clear(); + for (const auto& p : j) + { + if (JSON_HEDLEY_UNLIKELY(!p.is_array())) + { + JSON_THROW(type_error::create(302, "type must be array, but is " + std::string(p.type_name()), j)); + } + m.emplace(p.at(0).template get(), p.at(1).template get()); + } +} + +#if JSON_HAS_FILESYSTEM || JSON_HAS_EXPERIMENTAL_FILESYSTEM +template +void from_json(const BasicJsonType& j, std_fs::path& p) +{ + if (JSON_HEDLEY_UNLIKELY(!j.is_string())) + { + JSON_THROW(type_error::create(302, "type must be string, but is " + std::string(j.type_name()), j)); + } + p = *j.template get_ptr(); +} +#endif + +struct from_json_fn +{ + template + auto operator()(const BasicJsonType& j, T&& val) const + noexcept(noexcept(from_json(j, std::forward(val)))) + -> decltype(from_json(j, std::forward(val))) + { + return from_json(j, std::forward(val)); + } +}; +} // namespace detail + +/// namespace to hold default `from_json` function +/// to see why this is required: +/// http://www.open-std.org/jtc1/sc22/wg21/docs/papers/2015/n4381.html +namespace // NOLINT(cert-dcl59-cpp,fuchsia-header-anon-namespaces,google-build-namespaces) +{ +constexpr const auto& from_json = detail::static_const::value; // NOLINT(misc-definitions-in-headers) +} // namespace +} // namespace nlohmann + +// #include + + +#include // copy +#include // begin, end +#include // string +#include // tuple, get +#include // is_same, is_constructible, is_floating_point, is_enum, underlying_type +#include // move, forward, declval, pair +#include // valarray +#include // vector + +// #include + +// #include + + +#include // size_t +#include // input_iterator_tag +#include // string, to_string +#include // tuple_size, get, tuple_element +#include // move + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +template +void int_to_string( string_type& target, std::size_t value ) +{ + // For ADL + using std::to_string; + target = to_string(value); +} +template class iteration_proxy_value +{ + public: + using difference_type = std::ptrdiff_t; + using value_type = iteration_proxy_value; + using pointer = value_type * ; + using reference = value_type & ; + using iterator_category = std::input_iterator_tag; + using string_type = typename std::remove_cv< typename std::remove_reference().key() ) >::type >::type; + + private: + /// the iterator + IteratorType anchor; + /// an index for arrays (used to create key names) + std::size_t array_index = 0; + /// last stringified array index + mutable std::size_t array_index_last = 0; + /// a string representation of the array index + mutable string_type array_index_str = "0"; + /// an empty string (to return a reference for primitive values) + const string_type empty_str{}; + + public: + explicit iteration_proxy_value(IteratorType it) noexcept + : anchor(std::move(it)) + {} + + /// dereference operator (needed for range-based for) + iteration_proxy_value& operator*() + { + return *this; + } + + /// increment operator (needed for range-based for) + iteration_proxy_value& operator++() + { + ++anchor; + ++array_index; + + return *this; + } + + /// equality operator (needed for InputIterator) + bool operator==(const iteration_proxy_value& o) const + { + return anchor == o.anchor; + } + + /// inequality operator (needed for range-based for) + bool operator!=(const iteration_proxy_value& o) const + { + return anchor != o.anchor; + } + + /// return key of the iterator + const string_type& key() const + { + JSON_ASSERT(anchor.m_object != nullptr); + + switch (anchor.m_object->type()) + { + // use integer array index as key + case value_t::array: + { + if (array_index != array_index_last) + { + int_to_string( array_index_str, array_index ); + array_index_last = array_index; + } + return array_index_str; + } + + // use key from the object + case value_t::object: + return anchor.key(); + + // use an empty key for all primitive types + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + return empty_str; + } + } + + /// return value of the iterator + typename IteratorType::reference value() const + { + return anchor.value(); + } +}; + +/// proxy class for the items() function +template class iteration_proxy +{ + private: + /// the container to iterate + typename IteratorType::reference container; + + public: + /// construct iteration proxy from a container + explicit iteration_proxy(typename IteratorType::reference cont) noexcept + : container(cont) {} + + /// return iterator begin (needed for range-based for) + iteration_proxy_value begin() noexcept + { + return iteration_proxy_value(container.begin()); + } + + /// return iterator end (needed for range-based for) + iteration_proxy_value end() noexcept + { + return iteration_proxy_value(container.end()); + } +}; +// Structured Bindings Support +// For further reference see https://blog.tartanllama.xyz/structured-bindings/ +// And see https://github.com/nlohmann/json/pull/1391 +template = 0> +auto get(const nlohmann::detail::iteration_proxy_value& i) -> decltype(i.key()) +{ + return i.key(); +} +// Structured Bindings Support +// For further reference see https://blog.tartanllama.xyz/structured-bindings/ +// And see https://github.com/nlohmann/json/pull/1391 +template = 0> +auto get(const nlohmann::detail::iteration_proxy_value& i) -> decltype(i.value()) +{ + return i.value(); +} +} // namespace detail +} // namespace nlohmann + +// The Addition to the STD Namespace is required to add +// Structured Bindings Support to the iteration_proxy_value class +// For further reference see https://blog.tartanllama.xyz/structured-bindings/ +// And see https://github.com/nlohmann/json/pull/1391 +namespace std +{ +#if defined(__clang__) + // Fix: https://github.com/nlohmann/json/issues/1401 + #pragma clang diagnostic push + #pragma clang diagnostic ignored "-Wmismatched-tags" +#endif +template +class tuple_size<::nlohmann::detail::iteration_proxy_value> + : public std::integral_constant {}; + +template +class tuple_element> +{ + public: + using type = decltype( + get(std::declval < + ::nlohmann::detail::iteration_proxy_value> ())); +}; +#if defined(__clang__) + #pragma clang diagnostic pop +#endif +} // namespace std + +// #include + +// #include + +// #include + + +#if JSON_HAS_EXPERIMENTAL_FILESYSTEM +#include +namespace nlohmann::detail +{ +namespace std_fs = std::experimental::filesystem; +} // namespace nlohmann::detail +#elif JSON_HAS_FILESYSTEM +#include +namespace nlohmann::detail +{ +namespace std_fs = std::filesystem; +} // namespace nlohmann::detail +#endif + +namespace nlohmann +{ +namespace detail +{ +////////////////// +// constructors // +////////////////// + +/* + * Note all external_constructor<>::construct functions need to call + * j.m_value.destroy(j.m_type) to avoid a memory leak in case j contains an + * allocated value (e.g., a string). See bug issue + * https://github.com/nlohmann/json/issues/2865 for more information. + */ + +template struct external_constructor; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, typename BasicJsonType::boolean_t b) noexcept + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::boolean; + j.m_value = b; + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, const typename BasicJsonType::string_t& s) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::string; + j.m_value = s; + j.assert_invariant(); + } + + template + static void construct(BasicJsonType& j, typename BasicJsonType::string_t&& s) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::string; + j.m_value = std::move(s); + j.assert_invariant(); + } + + template < typename BasicJsonType, typename CompatibleStringType, + enable_if_t < !std::is_same::value, + int > = 0 > + static void construct(BasicJsonType& j, const CompatibleStringType& str) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::string; + j.m_value.string = j.template create(str); + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, const typename BasicJsonType::binary_t& b) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::binary; + j.m_value = typename BasicJsonType::binary_t(b); + j.assert_invariant(); + } + + template + static void construct(BasicJsonType& j, typename BasicJsonType::binary_t&& b) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::binary; + j.m_value = typename BasicJsonType::binary_t(std::move(b)); + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, typename BasicJsonType::number_float_t val) noexcept + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::number_float; + j.m_value = val; + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, typename BasicJsonType::number_unsigned_t val) noexcept + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::number_unsigned; + j.m_value = val; + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, typename BasicJsonType::number_integer_t val) noexcept + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::number_integer; + j.m_value = val; + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, const typename BasicJsonType::array_t& arr) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::array; + j.m_value = arr; + j.set_parents(); + j.assert_invariant(); + } + + template + static void construct(BasicJsonType& j, typename BasicJsonType::array_t&& arr) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::array; + j.m_value = std::move(arr); + j.set_parents(); + j.assert_invariant(); + } + + template < typename BasicJsonType, typename CompatibleArrayType, + enable_if_t < !std::is_same::value, + int > = 0 > + static void construct(BasicJsonType& j, const CompatibleArrayType& arr) + { + using std::begin; + using std::end; + + j.m_value.destroy(j.m_type); + j.m_type = value_t::array; + j.m_value.array = j.template create(begin(arr), end(arr)); + j.set_parents(); + j.assert_invariant(); + } + + template + static void construct(BasicJsonType& j, const std::vector& arr) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::array; + j.m_value = value_t::array; + j.m_value.array->reserve(arr.size()); + for (const bool x : arr) + { + j.m_value.array->push_back(x); + j.set_parent(j.m_value.array->back()); + } + j.assert_invariant(); + } + + template::value, int> = 0> + static void construct(BasicJsonType& j, const std::valarray& arr) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::array; + j.m_value = value_t::array; + j.m_value.array->resize(arr.size()); + if (arr.size() > 0) + { + std::copy(std::begin(arr), std::end(arr), j.m_value.array->begin()); + } + j.set_parents(); + j.assert_invariant(); + } +}; + +template<> +struct external_constructor +{ + template + static void construct(BasicJsonType& j, const typename BasicJsonType::object_t& obj) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::object; + j.m_value = obj; + j.set_parents(); + j.assert_invariant(); + } + + template + static void construct(BasicJsonType& j, typename BasicJsonType::object_t&& obj) + { + j.m_value.destroy(j.m_type); + j.m_type = value_t::object; + j.m_value = std::move(obj); + j.set_parents(); + j.assert_invariant(); + } + + template < typename BasicJsonType, typename CompatibleObjectType, + enable_if_t < !std::is_same::value, int > = 0 > + static void construct(BasicJsonType& j, const CompatibleObjectType& obj) + { + using std::begin; + using std::end; + + j.m_value.destroy(j.m_type); + j.m_type = value_t::object; + j.m_value.object = j.template create(begin(obj), end(obj)); + j.set_parents(); + j.assert_invariant(); + } +}; + +///////////// +// to_json // +///////////// + +template::value, int> = 0> +void to_json(BasicJsonType& j, T b) noexcept +{ + external_constructor::construct(j, b); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, const CompatibleString& s) +{ + external_constructor::construct(j, s); +} + +template +void to_json(BasicJsonType& j, typename BasicJsonType::string_t&& s) +{ + external_constructor::construct(j, std::move(s)); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, FloatType val) noexcept +{ + external_constructor::construct(j, static_cast(val)); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, CompatibleNumberUnsignedType val) noexcept +{ + external_constructor::construct(j, static_cast(val)); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, CompatibleNumberIntegerType val) noexcept +{ + external_constructor::construct(j, static_cast(val)); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, EnumType e) noexcept +{ + using underlying_type = typename std::underlying_type::type; + external_constructor::construct(j, static_cast(e)); +} + +template +void to_json(BasicJsonType& j, const std::vector& e) +{ + external_constructor::construct(j, e); +} + +template < typename BasicJsonType, typename CompatibleArrayType, + enable_if_t < is_compatible_array_type::value&& + !is_compatible_object_type::value&& + !is_compatible_string_type::value&& + !std::is_same::value&& + !is_basic_json::value, + int > = 0 > +void to_json(BasicJsonType& j, const CompatibleArrayType& arr) +{ + external_constructor::construct(j, arr); +} + +template +void to_json(BasicJsonType& j, const typename BasicJsonType::binary_t& bin) +{ + external_constructor::construct(j, bin); +} + +template::value, int> = 0> +void to_json(BasicJsonType& j, const std::valarray& arr) +{ + external_constructor::construct(j, std::move(arr)); +} + +template +void to_json(BasicJsonType& j, typename BasicJsonType::array_t&& arr) +{ + external_constructor::construct(j, std::move(arr)); +} + +template < typename BasicJsonType, typename CompatibleObjectType, + enable_if_t < is_compatible_object_type::value&& !is_basic_json::value, int > = 0 > +void to_json(BasicJsonType& j, const CompatibleObjectType& obj) +{ + external_constructor::construct(j, obj); +} + +template +void to_json(BasicJsonType& j, typename BasicJsonType::object_t&& obj) +{ + external_constructor::construct(j, std::move(obj)); +} + +template < + typename BasicJsonType, typename T, std::size_t N, + enable_if_t < !std::is_constructible::value, // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) + int > = 0 > +void to_json(BasicJsonType& j, const T(&arr)[N]) // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) +{ + external_constructor::construct(j, arr); +} + +template < typename BasicJsonType, typename T1, typename T2, enable_if_t < std::is_constructible::value&& std::is_constructible::value, int > = 0 > +void to_json(BasicJsonType& j, const std::pair& p) +{ + j = { p.first, p.second }; +} + +// for https://github.com/nlohmann/json/pull/1134 +template>::value, int> = 0> +void to_json(BasicJsonType& j, const T& b) +{ + j = { {b.key(), b.value()} }; +} + +template +void to_json_tuple_impl(BasicJsonType& j, const Tuple& t, index_sequence /*unused*/) +{ + j = { std::get(t)... }; +} + +template::value, int > = 0> +void to_json(BasicJsonType& j, const T& t) +{ + to_json_tuple_impl(j, t, make_index_sequence::value> {}); +} + +#if JSON_HAS_FILESYSTEM || JSON_HAS_EXPERIMENTAL_FILESYSTEM +template +void to_json(BasicJsonType& j, const std_fs::path& p) +{ + j = p.string(); +} +#endif + +struct to_json_fn +{ + template + auto operator()(BasicJsonType& j, T&& val) const noexcept(noexcept(to_json(j, std::forward(val)))) + -> decltype(to_json(j, std::forward(val)), void()) + { + return to_json(j, std::forward(val)); + } +}; +} // namespace detail + +/// namespace to hold default `to_json` function +/// to see why this is required: +/// http://www.open-std.org/jtc1/sc22/wg21/docs/papers/2015/n4381.html +namespace // NOLINT(cert-dcl59-cpp,fuchsia-header-anon-namespaces,google-build-namespaces) +{ +constexpr const auto& to_json = detail::static_const::value; // NOLINT(misc-definitions-in-headers) +} // namespace +} // namespace nlohmann + +// #include + +// #include + + +namespace nlohmann +{ + +/// @sa https://json.nlohmann.me/api/adl_serializer/ +template +struct adl_serializer +{ + /// @brief convert a JSON value to any value type + /// @sa https://json.nlohmann.me/api/adl_serializer/from_json/ + template + static auto from_json(BasicJsonType && j, TargetType& val) noexcept( + noexcept(::nlohmann::from_json(std::forward(j), val))) + -> decltype(::nlohmann::from_json(std::forward(j), val), void()) + { + ::nlohmann::from_json(std::forward(j), val); + } + + /// @brief convert a JSON value to any value type + /// @sa https://json.nlohmann.me/api/adl_serializer/from_json/ + template + static auto from_json(BasicJsonType && j) noexcept( + noexcept(::nlohmann::from_json(std::forward(j), detail::identity_tag {}))) + -> decltype(::nlohmann::from_json(std::forward(j), detail::identity_tag {})) + { + return ::nlohmann::from_json(std::forward(j), detail::identity_tag {}); + } + + /// @brief convert any value type to a JSON value + /// @sa https://json.nlohmann.me/api/adl_serializer/to_json/ + template + static auto to_json(BasicJsonType& j, TargetType && val) noexcept( + noexcept(::nlohmann::to_json(j, std::forward(val)))) + -> decltype(::nlohmann::to_json(j, std::forward(val)), void()) + { + ::nlohmann::to_json(j, std::forward(val)); + } +}; +} // namespace nlohmann + +// #include + + +#include // uint8_t, uint64_t +#include // tie +#include // move + +namespace nlohmann +{ + +/// @brief an internal type for a backed binary type +/// @sa https://json.nlohmann.me/api/byte_container_with_subtype/ +template +class byte_container_with_subtype : public BinaryType +{ + public: + using container_type = BinaryType; + using subtype_type = std::uint64_t; + + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/byte_container_with_subtype/ + byte_container_with_subtype() noexcept(noexcept(container_type())) + : container_type() + {} + + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/byte_container_with_subtype/ + byte_container_with_subtype(const container_type& b) noexcept(noexcept(container_type(b))) + : container_type(b) + {} + + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/byte_container_with_subtype/ + byte_container_with_subtype(container_type&& b) noexcept(noexcept(container_type(std::move(b)))) + : container_type(std::move(b)) + {} + + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/byte_container_with_subtype/ + byte_container_with_subtype(const container_type& b, subtype_type subtype_) noexcept(noexcept(container_type(b))) + : container_type(b) + , m_subtype(subtype_) + , m_has_subtype(true) + {} + + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/byte_container_with_subtype/ + byte_container_with_subtype(container_type&& b, subtype_type subtype_) noexcept(noexcept(container_type(std::move(b)))) + : container_type(std::move(b)) + , m_subtype(subtype_) + , m_has_subtype(true) + {} + + bool operator==(const byte_container_with_subtype& rhs) const + { + return std::tie(static_cast(*this), m_subtype, m_has_subtype) == + std::tie(static_cast(rhs), rhs.m_subtype, rhs.m_has_subtype); + } + + bool operator!=(const byte_container_with_subtype& rhs) const + { + return !(rhs == *this); + } + + /// @brief sets the binary subtype + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/set_subtype/ + void set_subtype(subtype_type subtype_) noexcept + { + m_subtype = subtype_; + m_has_subtype = true; + } + + /// @brief return the binary subtype + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/subtype/ + constexpr subtype_type subtype() const noexcept + { + return m_has_subtype ? m_subtype : static_cast(-1); + } + + /// @brief return whether the value has a subtype + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/has_subtype/ + constexpr bool has_subtype() const noexcept + { + return m_has_subtype; + } + + /// @brief clears the binary subtype + /// @sa https://json.nlohmann.me/api/byte_container_with_subtype/clear_subtype/ + void clear_subtype() noexcept + { + m_subtype = 0; + m_has_subtype = false; + } + + private: + subtype_type m_subtype = 0; + bool m_has_subtype = false; +}; + +} // namespace nlohmann + +// #include + +// #include + +// #include + +// #include + + +#include // uint8_t +#include // size_t +#include // hash + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ + +// boost::hash_combine +inline std::size_t combine(std::size_t seed, std::size_t h) noexcept +{ + seed ^= h + 0x9e3779b9 + (seed << 6U) + (seed >> 2U); + return seed; +} + +/*! +@brief hash a JSON value + +The hash function tries to rely on std::hash where possible. Furthermore, the +type of the JSON value is taken into account to have different hash values for +null, 0, 0U, and false, etc. + +@tparam BasicJsonType basic_json specialization +@param j JSON value to hash +@return hash value of j +*/ +template +std::size_t hash(const BasicJsonType& j) +{ + using string_t = typename BasicJsonType::string_t; + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + + const auto type = static_cast(j.type()); + switch (j.type()) + { + case BasicJsonType::value_t::null: + case BasicJsonType::value_t::discarded: + { + return combine(type, 0); + } + + case BasicJsonType::value_t::object: + { + auto seed = combine(type, j.size()); + for (const auto& element : j.items()) + { + const auto h = std::hash {}(element.key()); + seed = combine(seed, h); + seed = combine(seed, hash(element.value())); + } + return seed; + } + + case BasicJsonType::value_t::array: + { + auto seed = combine(type, j.size()); + for (const auto& element : j) + { + seed = combine(seed, hash(element)); + } + return seed; + } + + case BasicJsonType::value_t::string: + { + const auto h = std::hash {}(j.template get_ref()); + return combine(type, h); + } + + case BasicJsonType::value_t::boolean: + { + const auto h = std::hash {}(j.template get()); + return combine(type, h); + } + + case BasicJsonType::value_t::number_integer: + { + const auto h = std::hash {}(j.template get()); + return combine(type, h); + } + + case BasicJsonType::value_t::number_unsigned: + { + const auto h = std::hash {}(j.template get()); + return combine(type, h); + } + + case BasicJsonType::value_t::number_float: + { + const auto h = std::hash {}(j.template get()); + return combine(type, h); + } + + case BasicJsonType::value_t::binary: + { + auto seed = combine(type, j.get_binary().size()); + const auto h = std::hash {}(j.get_binary().has_subtype()); + seed = combine(seed, h); + seed = combine(seed, static_cast(j.get_binary().subtype())); + for (const auto byte : j.get_binary()) + { + seed = combine(seed, std::hash {}(byte)); + } + return seed; + } + + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + return 0; // LCOV_EXCL_LINE + } +} + +} // namespace detail +} // namespace nlohmann + +// #include + + +#include // generate_n +#include // array +#include // ldexp +#include // size_t +#include // uint8_t, uint16_t, uint32_t, uint64_t +#include // snprintf +#include // memcpy +#include // back_inserter +#include // numeric_limits +#include // char_traits, string +#include // make_pair, move +#include // vector + +// #include + +// #include + + +#include // array +#include // size_t +#include // strlen +#include // begin, end, iterator_traits, random_access_iterator_tag, distance, next +#include // shared_ptr, make_shared, addressof +#include // accumulate +#include // string, char_traits +#include // enable_if, is_base_of, is_pointer, is_integral, remove_pointer +#include // pair, declval + +#ifndef JSON_NO_IO + #include // FILE * + #include // istream +#endif // JSON_NO_IO + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +/// the supported input formats +enum class input_format_t { json, cbor, msgpack, ubjson, bson }; + +//////////////////// +// input adapters // +//////////////////// + +#ifndef JSON_NO_IO +/*! +Input adapter for stdio file access. This adapter read only 1 byte and do not use any + buffer. This adapter is a very low level adapter. +*/ +class file_input_adapter +{ + public: + using char_type = char; + + JSON_HEDLEY_NON_NULL(2) + explicit file_input_adapter(std::FILE* f) noexcept + : m_file(f) + {} + + // make class move-only + file_input_adapter(const file_input_adapter&) = delete; + file_input_adapter(file_input_adapter&&) noexcept = default; + file_input_adapter& operator=(const file_input_adapter&) = delete; + file_input_adapter& operator=(file_input_adapter&&) = delete; + ~file_input_adapter() = default; + + std::char_traits::int_type get_character() noexcept + { + return std::fgetc(m_file); + } + + private: + /// the file pointer to read from + std::FILE* m_file; +}; + + +/*! +Input adapter for a (caching) istream. Ignores a UFT Byte Order Mark at +beginning of input. Does not support changing the underlying std::streambuf +in mid-input. Maintains underlying std::istream and std::streambuf to support +subsequent use of standard std::istream operations to process any input +characters following those used in parsing the JSON input. Clears the +std::istream flags; any input errors (e.g., EOF) will be detected by the first +subsequent call for input from the std::istream. +*/ +class input_stream_adapter +{ + public: + using char_type = char; + + ~input_stream_adapter() + { + // clear stream flags; we use underlying streambuf I/O, do not + // maintain ifstream flags, except eof + if (is != nullptr) + { + is->clear(is->rdstate() & std::ios::eofbit); + } + } + + explicit input_stream_adapter(std::istream& i) + : is(&i), sb(i.rdbuf()) + {} + + // delete because of pointer members + input_stream_adapter(const input_stream_adapter&) = delete; + input_stream_adapter& operator=(input_stream_adapter&) = delete; + input_stream_adapter& operator=(input_stream_adapter&&) = delete; + + input_stream_adapter(input_stream_adapter&& rhs) noexcept + : is(rhs.is), sb(rhs.sb) + { + rhs.is = nullptr; + rhs.sb = nullptr; + } + + // std::istream/std::streambuf use std::char_traits::to_int_type, to + // ensure that std::char_traits::eof() and the character 0xFF do not + // end up as the same value, e.g. 0xFFFFFFFF. + std::char_traits::int_type get_character() + { + auto res = sb->sbumpc(); + // set eof manually, as we don't use the istream interface. + if (JSON_HEDLEY_UNLIKELY(res == std::char_traits::eof())) + { + is->clear(is->rdstate() | std::ios::eofbit); + } + return res; + } + + private: + /// the associated input stream + std::istream* is = nullptr; + std::streambuf* sb = nullptr; +}; +#endif // JSON_NO_IO + +// General-purpose iterator-based adapter. It might not be as fast as +// theoretically possible for some containers, but it is extremely versatile. +template +class iterator_input_adapter +{ + public: + using char_type = typename std::iterator_traits::value_type; + + iterator_input_adapter(IteratorType first, IteratorType last) + : current(std::move(first)), end(std::move(last)) + {} + + typename std::char_traits::int_type get_character() + { + if (JSON_HEDLEY_LIKELY(current != end)) + { + auto result = std::char_traits::to_int_type(*current); + std::advance(current, 1); + return result; + } + + return std::char_traits::eof(); + } + + private: + IteratorType current; + IteratorType end; + + template + friend struct wide_string_input_helper; + + bool empty() const + { + return current == end; + } +}; + + +template +struct wide_string_input_helper; + +template +struct wide_string_input_helper +{ + // UTF-32 + static void fill_buffer(BaseInputAdapter& input, + std::array::int_type, 4>& utf8_bytes, + size_t& utf8_bytes_index, + size_t& utf8_bytes_filled) + { + utf8_bytes_index = 0; + + if (JSON_HEDLEY_UNLIKELY(input.empty())) + { + utf8_bytes[0] = std::char_traits::eof(); + utf8_bytes_filled = 1; + } + else + { + // get the current character + const auto wc = input.get_character(); + + // UTF-32 to UTF-8 encoding + if (wc < 0x80) + { + utf8_bytes[0] = static_cast::int_type>(wc); + utf8_bytes_filled = 1; + } + else if (wc <= 0x7FF) + { + utf8_bytes[0] = static_cast::int_type>(0xC0u | ((static_cast(wc) >> 6u) & 0x1Fu)); + utf8_bytes[1] = static_cast::int_type>(0x80u | (static_cast(wc) & 0x3Fu)); + utf8_bytes_filled = 2; + } + else if (wc <= 0xFFFF) + { + utf8_bytes[0] = static_cast::int_type>(0xE0u | ((static_cast(wc) >> 12u) & 0x0Fu)); + utf8_bytes[1] = static_cast::int_type>(0x80u | ((static_cast(wc) >> 6u) & 0x3Fu)); + utf8_bytes[2] = static_cast::int_type>(0x80u | (static_cast(wc) & 0x3Fu)); + utf8_bytes_filled = 3; + } + else if (wc <= 0x10FFFF) + { + utf8_bytes[0] = static_cast::int_type>(0xF0u | ((static_cast(wc) >> 18u) & 0x07u)); + utf8_bytes[1] = static_cast::int_type>(0x80u | ((static_cast(wc) >> 12u) & 0x3Fu)); + utf8_bytes[2] = static_cast::int_type>(0x80u | ((static_cast(wc) >> 6u) & 0x3Fu)); + utf8_bytes[3] = static_cast::int_type>(0x80u | (static_cast(wc) & 0x3Fu)); + utf8_bytes_filled = 4; + } + else + { + // unknown character + utf8_bytes[0] = static_cast::int_type>(wc); + utf8_bytes_filled = 1; + } + } + } +}; + +template +struct wide_string_input_helper +{ + // UTF-16 + static void fill_buffer(BaseInputAdapter& input, + std::array::int_type, 4>& utf8_bytes, + size_t& utf8_bytes_index, + size_t& utf8_bytes_filled) + { + utf8_bytes_index = 0; + + if (JSON_HEDLEY_UNLIKELY(input.empty())) + { + utf8_bytes[0] = std::char_traits::eof(); + utf8_bytes_filled = 1; + } + else + { + // get the current character + const auto wc = input.get_character(); + + // UTF-16 to UTF-8 encoding + if (wc < 0x80) + { + utf8_bytes[0] = static_cast::int_type>(wc); + utf8_bytes_filled = 1; + } + else if (wc <= 0x7FF) + { + utf8_bytes[0] = static_cast::int_type>(0xC0u | ((static_cast(wc) >> 6u))); + utf8_bytes[1] = static_cast::int_type>(0x80u | (static_cast(wc) & 0x3Fu)); + utf8_bytes_filled = 2; + } + else if (0xD800 > wc || wc >= 0xE000) + { + utf8_bytes[0] = static_cast::int_type>(0xE0u | ((static_cast(wc) >> 12u))); + utf8_bytes[1] = static_cast::int_type>(0x80u | ((static_cast(wc) >> 6u) & 0x3Fu)); + utf8_bytes[2] = static_cast::int_type>(0x80u | (static_cast(wc) & 0x3Fu)); + utf8_bytes_filled = 3; + } + else + { + if (JSON_HEDLEY_UNLIKELY(!input.empty())) + { + const auto wc2 = static_cast(input.get_character()); + const auto charcode = 0x10000u + (((static_cast(wc) & 0x3FFu) << 10u) | (wc2 & 0x3FFu)); + utf8_bytes[0] = static_cast::int_type>(0xF0u | (charcode >> 18u)); + utf8_bytes[1] = static_cast::int_type>(0x80u | ((charcode >> 12u) & 0x3Fu)); + utf8_bytes[2] = static_cast::int_type>(0x80u | ((charcode >> 6u) & 0x3Fu)); + utf8_bytes[3] = static_cast::int_type>(0x80u | (charcode & 0x3Fu)); + utf8_bytes_filled = 4; + } + else + { + utf8_bytes[0] = static_cast::int_type>(wc); + utf8_bytes_filled = 1; + } + } + } + } +}; + +// Wraps another input apdater to convert wide character types into individual bytes. +template +class wide_string_input_adapter +{ + public: + using char_type = char; + + wide_string_input_adapter(BaseInputAdapter base) + : base_adapter(base) {} + + typename std::char_traits::int_type get_character() noexcept + { + // check if buffer needs to be filled + if (utf8_bytes_index == utf8_bytes_filled) + { + fill_buffer(); + + JSON_ASSERT(utf8_bytes_filled > 0); + JSON_ASSERT(utf8_bytes_index == 0); + } + + // use buffer + JSON_ASSERT(utf8_bytes_filled > 0); + JSON_ASSERT(utf8_bytes_index < utf8_bytes_filled); + return utf8_bytes[utf8_bytes_index++]; + } + + private: + BaseInputAdapter base_adapter; + + template + void fill_buffer() + { + wide_string_input_helper::fill_buffer(base_adapter, utf8_bytes, utf8_bytes_index, utf8_bytes_filled); + } + + /// a buffer for UTF-8 bytes + std::array::int_type, 4> utf8_bytes = {{0, 0, 0, 0}}; + + /// index to the utf8_codes array for the next valid byte + std::size_t utf8_bytes_index = 0; + /// number of valid bytes in the utf8_codes array + std::size_t utf8_bytes_filled = 0; +}; + + +template +struct iterator_input_adapter_factory +{ + using iterator_type = IteratorType; + using char_type = typename std::iterator_traits::value_type; + using adapter_type = iterator_input_adapter; + + static adapter_type create(IteratorType first, IteratorType last) + { + return adapter_type(std::move(first), std::move(last)); + } +}; + +template +struct is_iterator_of_multibyte +{ + using value_type = typename std::iterator_traits::value_type; + enum + { + value = sizeof(value_type) > 1 + }; +}; + +template +struct iterator_input_adapter_factory::value>> +{ + using iterator_type = IteratorType; + using char_type = typename std::iterator_traits::value_type; + using base_adapter_type = iterator_input_adapter; + using adapter_type = wide_string_input_adapter; + + static adapter_type create(IteratorType first, IteratorType last) + { + return adapter_type(base_adapter_type(std::move(first), std::move(last))); + } +}; + +// General purpose iterator-based input +template +typename iterator_input_adapter_factory::adapter_type input_adapter(IteratorType first, IteratorType last) +{ + using factory_type = iterator_input_adapter_factory; + return factory_type::create(first, last); +} + +// Convenience shorthand from container to iterator +// Enables ADL on begin(container) and end(container) +// Encloses the using declarations in namespace for not to leak them to outside scope + +namespace container_input_adapter_factory_impl +{ + +using std::begin; +using std::end; + +template +struct container_input_adapter_factory {}; + +template +struct container_input_adapter_factory< ContainerType, + void_t()), end(std::declval()))>> + { + using adapter_type = decltype(input_adapter(begin(std::declval()), end(std::declval()))); + + static adapter_type create(const ContainerType& container) +{ + return input_adapter(begin(container), end(container)); +} + }; + +} // namespace container_input_adapter_factory_impl + +template +typename container_input_adapter_factory_impl::container_input_adapter_factory::adapter_type input_adapter(const ContainerType& container) +{ + return container_input_adapter_factory_impl::container_input_adapter_factory::create(container); +} + +#ifndef JSON_NO_IO +// Special cases with fast paths +inline file_input_adapter input_adapter(std::FILE* file) +{ + return file_input_adapter(file); +} + +inline input_stream_adapter input_adapter(std::istream& stream) +{ + return input_stream_adapter(stream); +} + +inline input_stream_adapter input_adapter(std::istream&& stream) +{ + return input_stream_adapter(stream); +} +#endif // JSON_NO_IO + +using contiguous_bytes_input_adapter = decltype(input_adapter(std::declval(), std::declval())); + +// Null-delimited strings, and the like. +template < typename CharT, + typename std::enable_if < + std::is_pointer::value&& + !std::is_array::value&& + std::is_integral::type>::value&& + sizeof(typename std::remove_pointer::type) == 1, + int >::type = 0 > +contiguous_bytes_input_adapter input_adapter(CharT b) +{ + auto length = std::strlen(reinterpret_cast(b)); + const auto* ptr = reinterpret_cast(b); + return input_adapter(ptr, ptr + length); +} + +template +auto input_adapter(T (&array)[N]) -> decltype(input_adapter(array, array + N)) // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) +{ + return input_adapter(array, array + N); +} + +// This class only handles inputs of input_buffer_adapter type. +// It's required so that expressions like {ptr, len} can be implicitly cast +// to the correct adapter. +class span_input_adapter +{ + public: + template < typename CharT, + typename std::enable_if < + std::is_pointer::value&& + std::is_integral::type>::value&& + sizeof(typename std::remove_pointer::type) == 1, + int >::type = 0 > + span_input_adapter(CharT b, std::size_t l) + : ia(reinterpret_cast(b), reinterpret_cast(b) + l) {} + + template::iterator_category, std::random_access_iterator_tag>::value, + int>::type = 0> + span_input_adapter(IteratorType first, IteratorType last) + : ia(input_adapter(first, last)) {} + + contiguous_bytes_input_adapter&& get() + { + return std::move(ia); // NOLINT(hicpp-move-const-arg,performance-move-const-arg) + } + + private: + contiguous_bytes_input_adapter ia; +}; +} // namespace detail +} // namespace nlohmann + +// #include + + +#include +#include // string +#include // move +#include // vector + +// #include + +// #include + + +namespace nlohmann +{ + +/*! +@brief SAX interface + +This class describes the SAX interface used by @ref nlohmann::json::sax_parse. +Each function is called in different situations while the input is parsed. The +boolean return value informs the parser whether to continue processing the +input. +*/ +template +struct json_sax +{ + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + + /*! + @brief a null value was read + @return whether parsing should proceed + */ + virtual bool null() = 0; + + /*! + @brief a boolean value was read + @param[in] val boolean value + @return whether parsing should proceed + */ + virtual bool boolean(bool val) = 0; + + /*! + @brief an integer number was read + @param[in] val integer value + @return whether parsing should proceed + */ + virtual bool number_integer(number_integer_t val) = 0; + + /*! + @brief an unsigned integer number was read + @param[in] val unsigned integer value + @return whether parsing should proceed + */ + virtual bool number_unsigned(number_unsigned_t val) = 0; + + /*! + @brief a floating-point number was read + @param[in] val floating-point value + @param[in] s raw token value + @return whether parsing should proceed + */ + virtual bool number_float(number_float_t val, const string_t& s) = 0; + + /*! + @brief a string value was read + @param[in] val string value + @return whether parsing should proceed + @note It is safe to move the passed string value. + */ + virtual bool string(string_t& val) = 0; + + /*! + @brief a binary value was read + @param[in] val binary value + @return whether parsing should proceed + @note It is safe to move the passed binary value. + */ + virtual bool binary(binary_t& val) = 0; + + /*! + @brief the beginning of an object was read + @param[in] elements number of object elements or -1 if unknown + @return whether parsing should proceed + @note binary formats may report the number of elements + */ + virtual bool start_object(std::size_t elements) = 0; + + /*! + @brief an object key was read + @param[in] val object key + @return whether parsing should proceed + @note It is safe to move the passed string. + */ + virtual bool key(string_t& val) = 0; + + /*! + @brief the end of an object was read + @return whether parsing should proceed + */ + virtual bool end_object() = 0; + + /*! + @brief the beginning of an array was read + @param[in] elements number of array elements or -1 if unknown + @return whether parsing should proceed + @note binary formats may report the number of elements + */ + virtual bool start_array(std::size_t elements) = 0; + + /*! + @brief the end of an array was read + @return whether parsing should proceed + */ + virtual bool end_array() = 0; + + /*! + @brief a parse error occurred + @param[in] position the position in the input where the error occurs + @param[in] last_token the last read token + @param[in] ex an exception object describing the error + @return whether parsing should proceed (must return false) + */ + virtual bool parse_error(std::size_t position, + const std::string& last_token, + const detail::exception& ex) = 0; + + json_sax() = default; + json_sax(const json_sax&) = default; + json_sax(json_sax&&) noexcept = default; + json_sax& operator=(const json_sax&) = default; + json_sax& operator=(json_sax&&) noexcept = default; + virtual ~json_sax() = default; +}; + + +namespace detail +{ +/*! +@brief SAX implementation to create a JSON value from SAX events + +This class implements the @ref json_sax interface and processes the SAX events +to create a JSON value which makes it basically a DOM parser. The structure or +hierarchy of the JSON value is managed by the stack `ref_stack` which contains +a pointer to the respective array or object for each recursion depth. + +After successful parsing, the value that is passed by reference to the +constructor contains the parsed value. + +@tparam BasicJsonType the JSON type +*/ +template +class json_sax_dom_parser +{ + public: + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + + /*! + @param[in,out] r reference to a JSON value that is manipulated while + parsing + @param[in] allow_exceptions_ whether parse errors yield exceptions + */ + explicit json_sax_dom_parser(BasicJsonType& r, const bool allow_exceptions_ = true) + : root(r), allow_exceptions(allow_exceptions_) + {} + + // make class move-only + json_sax_dom_parser(const json_sax_dom_parser&) = delete; + json_sax_dom_parser(json_sax_dom_parser&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + json_sax_dom_parser& operator=(const json_sax_dom_parser&) = delete; + json_sax_dom_parser& operator=(json_sax_dom_parser&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + ~json_sax_dom_parser() = default; + + bool null() + { + handle_value(nullptr); + return true; + } + + bool boolean(bool val) + { + handle_value(val); + return true; + } + + bool number_integer(number_integer_t val) + { + handle_value(val); + return true; + } + + bool number_unsigned(number_unsigned_t val) + { + handle_value(val); + return true; + } + + bool number_float(number_float_t val, const string_t& /*unused*/) + { + handle_value(val); + return true; + } + + bool string(string_t& val) + { + handle_value(val); + return true; + } + + bool binary(binary_t& val) + { + handle_value(std::move(val)); + return true; + } + + bool start_object(std::size_t len) + { + ref_stack.push_back(handle_value(BasicJsonType::value_t::object)); + + if (JSON_HEDLEY_UNLIKELY(len != static_cast(-1) && len > ref_stack.back()->max_size())) + { + JSON_THROW(out_of_range::create(408, "excessive object size: " + std::to_string(len), *ref_stack.back())); + } + + return true; + } + + bool key(string_t& val) + { + // add null at given key and store the reference for later + object_element = &(ref_stack.back()->m_value.object->operator[](val)); + return true; + } + + bool end_object() + { + ref_stack.back()->set_parents(); + ref_stack.pop_back(); + return true; + } + + bool start_array(std::size_t len) + { + ref_stack.push_back(handle_value(BasicJsonType::value_t::array)); + + if (JSON_HEDLEY_UNLIKELY(len != static_cast(-1) && len > ref_stack.back()->max_size())) + { + JSON_THROW(out_of_range::create(408, "excessive array size: " + std::to_string(len), *ref_stack.back())); + } + + return true; + } + + bool end_array() + { + ref_stack.back()->set_parents(); + ref_stack.pop_back(); + return true; + } + + template + bool parse_error(std::size_t /*unused*/, const std::string& /*unused*/, + const Exception& ex) + { + errored = true; + static_cast(ex); + if (allow_exceptions) + { + JSON_THROW(ex); + } + return false; + } + + constexpr bool is_errored() const + { + return errored; + } + + private: + /*! + @invariant If the ref stack is empty, then the passed value will be the new + root. + @invariant If the ref stack contains a value, then it is an array or an + object to which we can add elements + */ + template + JSON_HEDLEY_RETURNS_NON_NULL + BasicJsonType* handle_value(Value&& v) + { + if (ref_stack.empty()) + { + root = BasicJsonType(std::forward(v)); + return &root; + } + + JSON_ASSERT(ref_stack.back()->is_array() || ref_stack.back()->is_object()); + + if (ref_stack.back()->is_array()) + { + ref_stack.back()->m_value.array->emplace_back(std::forward(v)); + return &(ref_stack.back()->m_value.array->back()); + } + + JSON_ASSERT(ref_stack.back()->is_object()); + JSON_ASSERT(object_element); + *object_element = BasicJsonType(std::forward(v)); + return object_element; + } + + /// the parsed JSON value + BasicJsonType& root; + /// stack to model hierarchy of values + std::vector ref_stack {}; + /// helper to hold the reference for the next object element + BasicJsonType* object_element = nullptr; + /// whether a syntax error occurred + bool errored = false; + /// whether to throw exceptions in case of errors + const bool allow_exceptions = true; +}; + +template +class json_sax_dom_callback_parser +{ + public: + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + using parser_callback_t = typename BasicJsonType::parser_callback_t; + using parse_event_t = typename BasicJsonType::parse_event_t; + + json_sax_dom_callback_parser(BasicJsonType& r, + const parser_callback_t cb, + const bool allow_exceptions_ = true) + : root(r), callback(cb), allow_exceptions(allow_exceptions_) + { + keep_stack.push_back(true); + } + + // make class move-only + json_sax_dom_callback_parser(const json_sax_dom_callback_parser&) = delete; + json_sax_dom_callback_parser(json_sax_dom_callback_parser&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + json_sax_dom_callback_parser& operator=(const json_sax_dom_callback_parser&) = delete; + json_sax_dom_callback_parser& operator=(json_sax_dom_callback_parser&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + ~json_sax_dom_callback_parser() = default; + + bool null() + { + handle_value(nullptr); + return true; + } + + bool boolean(bool val) + { + handle_value(val); + return true; + } + + bool number_integer(number_integer_t val) + { + handle_value(val); + return true; + } + + bool number_unsigned(number_unsigned_t val) + { + handle_value(val); + return true; + } + + bool number_float(number_float_t val, const string_t& /*unused*/) + { + handle_value(val); + return true; + } + + bool string(string_t& val) + { + handle_value(val); + return true; + } + + bool binary(binary_t& val) + { + handle_value(std::move(val)); + return true; + } + + bool start_object(std::size_t len) + { + // check callback for object start + const bool keep = callback(static_cast(ref_stack.size()), parse_event_t::object_start, discarded); + keep_stack.push_back(keep); + + auto val = handle_value(BasicJsonType::value_t::object, true); + ref_stack.push_back(val.second); + + // check object limit + if (ref_stack.back() && JSON_HEDLEY_UNLIKELY(len != static_cast(-1) && len > ref_stack.back()->max_size())) + { + JSON_THROW(out_of_range::create(408, "excessive object size: " + std::to_string(len), *ref_stack.back())); + } + + return true; + } + + bool key(string_t& val) + { + BasicJsonType k = BasicJsonType(val); + + // check callback for key + const bool keep = callback(static_cast(ref_stack.size()), parse_event_t::key, k); + key_keep_stack.push_back(keep); + + // add discarded value at given key and store the reference for later + if (keep && ref_stack.back()) + { + object_element = &(ref_stack.back()->m_value.object->operator[](val) = discarded); + } + + return true; + } + + bool end_object() + { + if (ref_stack.back()) + { + if (!callback(static_cast(ref_stack.size()) - 1, parse_event_t::object_end, *ref_stack.back())) + { + // discard object + *ref_stack.back() = discarded; + } + else + { + ref_stack.back()->set_parents(); + } + } + + JSON_ASSERT(!ref_stack.empty()); + JSON_ASSERT(!keep_stack.empty()); + ref_stack.pop_back(); + keep_stack.pop_back(); + + if (!ref_stack.empty() && ref_stack.back() && ref_stack.back()->is_structured()) + { + // remove discarded value + for (auto it = ref_stack.back()->begin(); it != ref_stack.back()->end(); ++it) + { + if (it->is_discarded()) + { + ref_stack.back()->erase(it); + break; + } + } + } + + return true; + } + + bool start_array(std::size_t len) + { + const bool keep = callback(static_cast(ref_stack.size()), parse_event_t::array_start, discarded); + keep_stack.push_back(keep); + + auto val = handle_value(BasicJsonType::value_t::array, true); + ref_stack.push_back(val.second); + + // check array limit + if (ref_stack.back() && JSON_HEDLEY_UNLIKELY(len != static_cast(-1) && len > ref_stack.back()->max_size())) + { + JSON_THROW(out_of_range::create(408, "excessive array size: " + std::to_string(len), *ref_stack.back())); + } + + return true; + } + + bool end_array() + { + bool keep = true; + + if (ref_stack.back()) + { + keep = callback(static_cast(ref_stack.size()) - 1, parse_event_t::array_end, *ref_stack.back()); + if (keep) + { + ref_stack.back()->set_parents(); + } + else + { + // discard array + *ref_stack.back() = discarded; + } + } + + JSON_ASSERT(!ref_stack.empty()); + JSON_ASSERT(!keep_stack.empty()); + ref_stack.pop_back(); + keep_stack.pop_back(); + + // remove discarded value + if (!keep && !ref_stack.empty() && ref_stack.back()->is_array()) + { + ref_stack.back()->m_value.array->pop_back(); + } + + return true; + } + + template + bool parse_error(std::size_t /*unused*/, const std::string& /*unused*/, + const Exception& ex) + { + errored = true; + static_cast(ex); + if (allow_exceptions) + { + JSON_THROW(ex); + } + return false; + } + + constexpr bool is_errored() const + { + return errored; + } + + private: + /*! + @param[in] v value to add to the JSON value we build during parsing + @param[in] skip_callback whether we should skip calling the callback + function; this is required after start_array() and + start_object() SAX events, because otherwise we would call the + callback function with an empty array or object, respectively. + + @invariant If the ref stack is empty, then the passed value will be the new + root. + @invariant If the ref stack contains a value, then it is an array or an + object to which we can add elements + + @return pair of boolean (whether value should be kept) and pointer (to the + passed value in the ref_stack hierarchy; nullptr if not kept) + */ + template + std::pair handle_value(Value&& v, const bool skip_callback = false) + { + JSON_ASSERT(!keep_stack.empty()); + + // do not handle this value if we know it would be added to a discarded + // container + if (!keep_stack.back()) + { + return {false, nullptr}; + } + + // create value + auto value = BasicJsonType(std::forward(v)); + + // check callback + const bool keep = skip_callback || callback(static_cast(ref_stack.size()), parse_event_t::value, value); + + // do not handle this value if we just learnt it shall be discarded + if (!keep) + { + return {false, nullptr}; + } + + if (ref_stack.empty()) + { + root = std::move(value); + return {true, &root}; + } + + // skip this value if we already decided to skip the parent + // (https://github.com/nlohmann/json/issues/971#issuecomment-413678360) + if (!ref_stack.back()) + { + return {false, nullptr}; + } + + // we now only expect arrays and objects + JSON_ASSERT(ref_stack.back()->is_array() || ref_stack.back()->is_object()); + + // array + if (ref_stack.back()->is_array()) + { + ref_stack.back()->m_value.array->emplace_back(std::move(value)); + return {true, &(ref_stack.back()->m_value.array->back())}; + } + + // object + JSON_ASSERT(ref_stack.back()->is_object()); + // check if we should store an element for the current key + JSON_ASSERT(!key_keep_stack.empty()); + const bool store_element = key_keep_stack.back(); + key_keep_stack.pop_back(); + + if (!store_element) + { + return {false, nullptr}; + } + + JSON_ASSERT(object_element); + *object_element = std::move(value); + return {true, object_element}; + } + + /// the parsed JSON value + BasicJsonType& root; + /// stack to model hierarchy of values + std::vector ref_stack {}; + /// stack to manage which values to keep + std::vector keep_stack {}; + /// stack to manage which object keys to keep + std::vector key_keep_stack {}; + /// helper to hold the reference for the next object element + BasicJsonType* object_element = nullptr; + /// whether a syntax error occurred + bool errored = false; + /// callback function + const parser_callback_t callback = nullptr; + /// whether to throw exceptions in case of errors + const bool allow_exceptions = true; + /// a discarded value for the callback + BasicJsonType discarded = BasicJsonType::value_t::discarded; +}; + +template +class json_sax_acceptor +{ + public: + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + + bool null() + { + return true; + } + + bool boolean(bool /*unused*/) + { + return true; + } + + bool number_integer(number_integer_t /*unused*/) + { + return true; + } + + bool number_unsigned(number_unsigned_t /*unused*/) + { + return true; + } + + bool number_float(number_float_t /*unused*/, const string_t& /*unused*/) + { + return true; + } + + bool string(string_t& /*unused*/) + { + return true; + } + + bool binary(binary_t& /*unused*/) + { + return true; + } + + bool start_object(std::size_t /*unused*/ = static_cast(-1)) + { + return true; + } + + bool key(string_t& /*unused*/) + { + return true; + } + + bool end_object() + { + return true; + } + + bool start_array(std::size_t /*unused*/ = static_cast(-1)) + { + return true; + } + + bool end_array() + { + return true; + } + + bool parse_error(std::size_t /*unused*/, const std::string& /*unused*/, const detail::exception& /*unused*/) + { + return false; + } +}; +} // namespace detail + +} // namespace nlohmann + +// #include + + +#include // array +#include // localeconv +#include // size_t +#include // snprintf +#include // strtof, strtod, strtold, strtoll, strtoull +#include // initializer_list +#include // char_traits, string +#include // move +#include // vector + +// #include + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +/////////// +// lexer // +/////////// + +template +class lexer_base +{ + public: + /// token types for the parser + enum class token_type + { + uninitialized, ///< indicating the scanner is uninitialized + literal_true, ///< the `true` literal + literal_false, ///< the `false` literal + literal_null, ///< the `null` literal + value_string, ///< a string -- use get_string() for actual value + value_unsigned, ///< an unsigned integer -- use get_number_unsigned() for actual value + value_integer, ///< a signed integer -- use get_number_integer() for actual value + value_float, ///< an floating point number -- use get_number_float() for actual value + begin_array, ///< the character for array begin `[` + begin_object, ///< the character for object begin `{` + end_array, ///< the character for array end `]` + end_object, ///< the character for object end `}` + name_separator, ///< the name separator `:` + value_separator, ///< the value separator `,` + parse_error, ///< indicating a parse error + end_of_input, ///< indicating the end of the input buffer + literal_or_value ///< a literal or the begin of a value (only for diagnostics) + }; + + /// return name of values of type token_type (only used for errors) + JSON_HEDLEY_RETURNS_NON_NULL + JSON_HEDLEY_CONST + static const char* token_type_name(const token_type t) noexcept + { + switch (t) + { + case token_type::uninitialized: + return ""; + case token_type::literal_true: + return "true literal"; + case token_type::literal_false: + return "false literal"; + case token_type::literal_null: + return "null literal"; + case token_type::value_string: + return "string literal"; + case token_type::value_unsigned: + case token_type::value_integer: + case token_type::value_float: + return "number literal"; + case token_type::begin_array: + return "'['"; + case token_type::begin_object: + return "'{'"; + case token_type::end_array: + return "']'"; + case token_type::end_object: + return "'}'"; + case token_type::name_separator: + return "':'"; + case token_type::value_separator: + return "','"; + case token_type::parse_error: + return ""; + case token_type::end_of_input: + return "end of input"; + case token_type::literal_or_value: + return "'[', '{', or a literal"; + // LCOV_EXCL_START + default: // catch non-enum values + return "unknown token"; + // LCOV_EXCL_STOP + } + } +}; +/*! +@brief lexical analysis + +This class organizes the lexical analysis during JSON deserialization. +*/ +template +class lexer : public lexer_base +{ + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using char_type = typename InputAdapterType::char_type; + using char_int_type = typename std::char_traits::int_type; + + public: + using token_type = typename lexer_base::token_type; + + explicit lexer(InputAdapterType&& adapter, bool ignore_comments_ = false) noexcept + : ia(std::move(adapter)) + , ignore_comments(ignore_comments_) + , decimal_point_char(static_cast(get_decimal_point())) + {} + + // delete because of pointer members + lexer(const lexer&) = delete; + lexer(lexer&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + lexer& operator=(lexer&) = delete; + lexer& operator=(lexer&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + ~lexer() = default; + + private: + ///////////////////// + // locales + ///////////////////// + + /// return the locale-dependent decimal point + JSON_HEDLEY_PURE + static char get_decimal_point() noexcept + { + const auto* loc = localeconv(); + JSON_ASSERT(loc != nullptr); + return (loc->decimal_point == nullptr) ? '.' : *(loc->decimal_point); + } + + ///////////////////// + // scan functions + ///////////////////// + + /*! + @brief get codepoint from 4 hex characters following `\u` + + For input "\u c1 c2 c3 c4" the codepoint is: + (c1 * 0x1000) + (c2 * 0x0100) + (c3 * 0x0010) + c4 + = (c1 << 12) + (c2 << 8) + (c3 << 4) + (c4 << 0) + + Furthermore, the possible characters '0'..'9', 'A'..'F', and 'a'..'f' + must be converted to the integers 0x0..0x9, 0xA..0xF, 0xA..0xF, resp. The + conversion is done by subtracting the offset (0x30, 0x37, and 0x57) + between the ASCII value of the character and the desired integer value. + + @return codepoint (0x0000..0xFFFF) or -1 in case of an error (e.g. EOF or + non-hex character) + */ + int get_codepoint() + { + // this function only makes sense after reading `\u` + JSON_ASSERT(current == 'u'); + int codepoint = 0; + + const auto factors = { 12u, 8u, 4u, 0u }; + for (const auto factor : factors) + { + get(); + + if (current >= '0' && current <= '9') + { + codepoint += static_cast((static_cast(current) - 0x30u) << factor); + } + else if (current >= 'A' && current <= 'F') + { + codepoint += static_cast((static_cast(current) - 0x37u) << factor); + } + else if (current >= 'a' && current <= 'f') + { + codepoint += static_cast((static_cast(current) - 0x57u) << factor); + } + else + { + return -1; + } + } + + JSON_ASSERT(0x0000 <= codepoint && codepoint <= 0xFFFF); + return codepoint; + } + + /*! + @brief check if the next byte(s) are inside a given range + + Adds the current byte and, for each passed range, reads a new byte and + checks if it is inside the range. If a violation was detected, set up an + error message and return false. Otherwise, return true. + + @param[in] ranges list of integers; interpreted as list of pairs of + inclusive lower and upper bound, respectively + + @pre The passed list @a ranges must have 2, 4, or 6 elements; that is, + 1, 2, or 3 pairs. This precondition is enforced by an assertion. + + @return true if and only if no range violation was detected + */ + bool next_byte_in_range(std::initializer_list ranges) + { + JSON_ASSERT(ranges.size() == 2 || ranges.size() == 4 || ranges.size() == 6); + add(current); + + for (auto range = ranges.begin(); range != ranges.end(); ++range) + { + get(); + if (JSON_HEDLEY_LIKELY(*range <= current && current <= *(++range))) + { + add(current); + } + else + { + error_message = "invalid string: ill-formed UTF-8 byte"; + return false; + } + } + + return true; + } + + /*! + @brief scan a string literal + + This function scans a string according to Sect. 7 of RFC 8259. While + scanning, bytes are escaped and copied into buffer token_buffer. Then the + function returns successfully, token_buffer is *not* null-terminated (as it + may contain \0 bytes), and token_buffer.size() is the number of bytes in the + string. + + @return token_type::value_string if string could be successfully scanned, + token_type::parse_error otherwise + + @note In case of errors, variable error_message contains a textual + description. + */ + token_type scan_string() + { + // reset token_buffer (ignore opening quote) + reset(); + + // we entered the function by reading an open quote + JSON_ASSERT(current == '\"'); + + while (true) + { + // get next character + switch (get()) + { + // end of file while parsing string + case std::char_traits::eof(): + { + error_message = "invalid string: missing closing quote"; + return token_type::parse_error; + } + + // closing quote + case '\"': + { + return token_type::value_string; + } + + // escapes + case '\\': + { + switch (get()) + { + // quotation mark + case '\"': + add('\"'); + break; + // reverse solidus + case '\\': + add('\\'); + break; + // solidus + case '/': + add('/'); + break; + // backspace + case 'b': + add('\b'); + break; + // form feed + case 'f': + add('\f'); + break; + // line feed + case 'n': + add('\n'); + break; + // carriage return + case 'r': + add('\r'); + break; + // tab + case 't': + add('\t'); + break; + + // unicode escapes + case 'u': + { + const int codepoint1 = get_codepoint(); + int codepoint = codepoint1; // start with codepoint1 + + if (JSON_HEDLEY_UNLIKELY(codepoint1 == -1)) + { + error_message = "invalid string: '\\u' must be followed by 4 hex digits"; + return token_type::parse_error; + } + + // check if code point is a high surrogate + if (0xD800 <= codepoint1 && codepoint1 <= 0xDBFF) + { + // expect next \uxxxx entry + if (JSON_HEDLEY_LIKELY(get() == '\\' && get() == 'u')) + { + const int codepoint2 = get_codepoint(); + + if (JSON_HEDLEY_UNLIKELY(codepoint2 == -1)) + { + error_message = "invalid string: '\\u' must be followed by 4 hex digits"; + return token_type::parse_error; + } + + // check if codepoint2 is a low surrogate + if (JSON_HEDLEY_LIKELY(0xDC00 <= codepoint2 && codepoint2 <= 0xDFFF)) + { + // overwrite codepoint + codepoint = static_cast( + // high surrogate occupies the most significant 22 bits + (static_cast(codepoint1) << 10u) + // low surrogate occupies the least significant 15 bits + + static_cast(codepoint2) + // there is still the 0xD800, 0xDC00 and 0x10000 noise + // in the result, so we have to subtract with: + // (0xD800 << 10) + DC00 - 0x10000 = 0x35FDC00 + - 0x35FDC00u); + } + else + { + error_message = "invalid string: surrogate U+D800..U+DBFF must be followed by U+DC00..U+DFFF"; + return token_type::parse_error; + } + } + else + { + error_message = "invalid string: surrogate U+D800..U+DBFF must be followed by U+DC00..U+DFFF"; + return token_type::parse_error; + } + } + else + { + if (JSON_HEDLEY_UNLIKELY(0xDC00 <= codepoint1 && codepoint1 <= 0xDFFF)) + { + error_message = "invalid string: surrogate U+DC00..U+DFFF must follow U+D800..U+DBFF"; + return token_type::parse_error; + } + } + + // result of the above calculation yields a proper codepoint + JSON_ASSERT(0x00 <= codepoint && codepoint <= 0x10FFFF); + + // translate codepoint into bytes + if (codepoint < 0x80) + { + // 1-byte characters: 0xxxxxxx (ASCII) + add(static_cast(codepoint)); + } + else if (codepoint <= 0x7FF) + { + // 2-byte characters: 110xxxxx 10xxxxxx + add(static_cast(0xC0u | (static_cast(codepoint) >> 6u))); + add(static_cast(0x80u | (static_cast(codepoint) & 0x3Fu))); + } + else if (codepoint <= 0xFFFF) + { + // 3-byte characters: 1110xxxx 10xxxxxx 10xxxxxx + add(static_cast(0xE0u | (static_cast(codepoint) >> 12u))); + add(static_cast(0x80u | ((static_cast(codepoint) >> 6u) & 0x3Fu))); + add(static_cast(0x80u | (static_cast(codepoint) & 0x3Fu))); + } + else + { + // 4-byte characters: 11110xxx 10xxxxxx 10xxxxxx 10xxxxxx + add(static_cast(0xF0u | (static_cast(codepoint) >> 18u))); + add(static_cast(0x80u | ((static_cast(codepoint) >> 12u) & 0x3Fu))); + add(static_cast(0x80u | ((static_cast(codepoint) >> 6u) & 0x3Fu))); + add(static_cast(0x80u | (static_cast(codepoint) & 0x3Fu))); + } + + break; + } + + // other characters after escape + default: + error_message = "invalid string: forbidden character after backslash"; + return token_type::parse_error; + } + + break; + } + + // invalid control characters + case 0x00: + { + error_message = "invalid string: control character U+0000 (NUL) must be escaped to \\u0000"; + return token_type::parse_error; + } + + case 0x01: + { + error_message = "invalid string: control character U+0001 (SOH) must be escaped to \\u0001"; + return token_type::parse_error; + } + + case 0x02: + { + error_message = "invalid string: control character U+0002 (STX) must be escaped to \\u0002"; + return token_type::parse_error; + } + + case 0x03: + { + error_message = "invalid string: control character U+0003 (ETX) must be escaped to \\u0003"; + return token_type::parse_error; + } + + case 0x04: + { + error_message = "invalid string: control character U+0004 (EOT) must be escaped to \\u0004"; + return token_type::parse_error; + } + + case 0x05: + { + error_message = "invalid string: control character U+0005 (ENQ) must be escaped to \\u0005"; + return token_type::parse_error; + } + + case 0x06: + { + error_message = "invalid string: control character U+0006 (ACK) must be escaped to \\u0006"; + return token_type::parse_error; + } + + case 0x07: + { + error_message = "invalid string: control character U+0007 (BEL) must be escaped to \\u0007"; + return token_type::parse_error; + } + + case 0x08: + { + error_message = "invalid string: control character U+0008 (BS) must be escaped to \\u0008 or \\b"; + return token_type::parse_error; + } + + case 0x09: + { + error_message = "invalid string: control character U+0009 (HT) must be escaped to \\u0009 or \\t"; + return token_type::parse_error; + } + + case 0x0A: + { + error_message = "invalid string: control character U+000A (LF) must be escaped to \\u000A or \\n"; + return token_type::parse_error; + } + + case 0x0B: + { + error_message = "invalid string: control character U+000B (VT) must be escaped to \\u000B"; + return token_type::parse_error; + } + + case 0x0C: + { + error_message = "invalid string: control character U+000C (FF) must be escaped to \\u000C or \\f"; + return token_type::parse_error; + } + + case 0x0D: + { + error_message = "invalid string: control character U+000D (CR) must be escaped to \\u000D or \\r"; + return token_type::parse_error; + } + + case 0x0E: + { + error_message = "invalid string: control character U+000E (SO) must be escaped to \\u000E"; + return token_type::parse_error; + } + + case 0x0F: + { + error_message = "invalid string: control character U+000F (SI) must be escaped to \\u000F"; + return token_type::parse_error; + } + + case 0x10: + { + error_message = "invalid string: control character U+0010 (DLE) must be escaped to \\u0010"; + return token_type::parse_error; + } + + case 0x11: + { + error_message = "invalid string: control character U+0011 (DC1) must be escaped to \\u0011"; + return token_type::parse_error; + } + + case 0x12: + { + error_message = "invalid string: control character U+0012 (DC2) must be escaped to \\u0012"; + return token_type::parse_error; + } + + case 0x13: + { + error_message = "invalid string: control character U+0013 (DC3) must be escaped to \\u0013"; + return token_type::parse_error; + } + + case 0x14: + { + error_message = "invalid string: control character U+0014 (DC4) must be escaped to \\u0014"; + return token_type::parse_error; + } + + case 0x15: + { + error_message = "invalid string: control character U+0015 (NAK) must be escaped to \\u0015"; + return token_type::parse_error; + } + + case 0x16: + { + error_message = "invalid string: control character U+0016 (SYN) must be escaped to \\u0016"; + return token_type::parse_error; + } + + case 0x17: + { + error_message = "invalid string: control character U+0017 (ETB) must be escaped to \\u0017"; + return token_type::parse_error; + } + + case 0x18: + { + error_message = "invalid string: control character U+0018 (CAN) must be escaped to \\u0018"; + return token_type::parse_error; + } + + case 0x19: + { + error_message = "invalid string: control character U+0019 (EM) must be escaped to \\u0019"; + return token_type::parse_error; + } + + case 0x1A: + { + error_message = "invalid string: control character U+001A (SUB) must be escaped to \\u001A"; + return token_type::parse_error; + } + + case 0x1B: + { + error_message = "invalid string: control character U+001B (ESC) must be escaped to \\u001B"; + return token_type::parse_error; + } + + case 0x1C: + { + error_message = "invalid string: control character U+001C (FS) must be escaped to \\u001C"; + return token_type::parse_error; + } + + case 0x1D: + { + error_message = "invalid string: control character U+001D (GS) must be escaped to \\u001D"; + return token_type::parse_error; + } + + case 0x1E: + { + error_message = "invalid string: control character U+001E (RS) must be escaped to \\u001E"; + return token_type::parse_error; + } + + case 0x1F: + { + error_message = "invalid string: control character U+001F (US) must be escaped to \\u001F"; + return token_type::parse_error; + } + + // U+0020..U+007F (except U+0022 (quote) and U+005C (backspace)) + case 0x20: + case 0x21: + case 0x23: + case 0x24: + case 0x25: + case 0x26: + case 0x27: + case 0x28: + case 0x29: + case 0x2A: + case 0x2B: + case 0x2C: + case 0x2D: + case 0x2E: + case 0x2F: + case 0x30: + case 0x31: + case 0x32: + case 0x33: + case 0x34: + case 0x35: + case 0x36: + case 0x37: + case 0x38: + case 0x39: + case 0x3A: + case 0x3B: + case 0x3C: + case 0x3D: + case 0x3E: + case 0x3F: + case 0x40: + case 0x41: + case 0x42: + case 0x43: + case 0x44: + case 0x45: + case 0x46: + case 0x47: + case 0x48: + case 0x49: + case 0x4A: + case 0x4B: + case 0x4C: + case 0x4D: + case 0x4E: + case 0x4F: + case 0x50: + case 0x51: + case 0x52: + case 0x53: + case 0x54: + case 0x55: + case 0x56: + case 0x57: + case 0x58: + case 0x59: + case 0x5A: + case 0x5B: + case 0x5D: + case 0x5E: + case 0x5F: + case 0x60: + case 0x61: + case 0x62: + case 0x63: + case 0x64: + case 0x65: + case 0x66: + case 0x67: + case 0x68: + case 0x69: + case 0x6A: + case 0x6B: + case 0x6C: + case 0x6D: + case 0x6E: + case 0x6F: + case 0x70: + case 0x71: + case 0x72: + case 0x73: + case 0x74: + case 0x75: + case 0x76: + case 0x77: + case 0x78: + case 0x79: + case 0x7A: + case 0x7B: + case 0x7C: + case 0x7D: + case 0x7E: + case 0x7F: + { + add(current); + break; + } + + // U+0080..U+07FF: bytes C2..DF 80..BF + case 0xC2: + case 0xC3: + case 0xC4: + case 0xC5: + case 0xC6: + case 0xC7: + case 0xC8: + case 0xC9: + case 0xCA: + case 0xCB: + case 0xCC: + case 0xCD: + case 0xCE: + case 0xCF: + case 0xD0: + case 0xD1: + case 0xD2: + case 0xD3: + case 0xD4: + case 0xD5: + case 0xD6: + case 0xD7: + case 0xD8: + case 0xD9: + case 0xDA: + case 0xDB: + case 0xDC: + case 0xDD: + case 0xDE: + case 0xDF: + { + if (JSON_HEDLEY_UNLIKELY(!next_byte_in_range({0x80, 0xBF}))) + { + return token_type::parse_error; + } + break; + } + + // U+0800..U+0FFF: bytes E0 A0..BF 80..BF + case 0xE0: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0xA0, 0xBF, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // U+1000..U+CFFF: bytes E1..EC 80..BF 80..BF + // U+E000..U+FFFF: bytes EE..EF 80..BF 80..BF + case 0xE1: + case 0xE2: + case 0xE3: + case 0xE4: + case 0xE5: + case 0xE6: + case 0xE7: + case 0xE8: + case 0xE9: + case 0xEA: + case 0xEB: + case 0xEC: + case 0xEE: + case 0xEF: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0x80, 0xBF, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // U+D000..U+D7FF: bytes ED 80..9F 80..BF + case 0xED: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0x80, 0x9F, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // U+10000..U+3FFFF F0 90..BF 80..BF 80..BF + case 0xF0: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0x90, 0xBF, 0x80, 0xBF, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // U+40000..U+FFFFF F1..F3 80..BF 80..BF 80..BF + case 0xF1: + case 0xF2: + case 0xF3: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0x80, 0xBF, 0x80, 0xBF, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // U+100000..U+10FFFF F4 80..8F 80..BF 80..BF + case 0xF4: + { + if (JSON_HEDLEY_UNLIKELY(!(next_byte_in_range({0x80, 0x8F, 0x80, 0xBF, 0x80, 0xBF})))) + { + return token_type::parse_error; + } + break; + } + + // remaining bytes (80..C1 and F5..FF) are ill-formed + default: + { + error_message = "invalid string: ill-formed UTF-8 byte"; + return token_type::parse_error; + } + } + } + } + + /*! + * @brief scan a comment + * @return whether comment could be scanned successfully + */ + bool scan_comment() + { + switch (get()) + { + // single-line comments skip input until a newline or EOF is read + case '/': + { + while (true) + { + switch (get()) + { + case '\n': + case '\r': + case std::char_traits::eof(): + case '\0': + return true; + + default: + break; + } + } + } + + // multi-line comments skip input until */ is read + case '*': + { + while (true) + { + switch (get()) + { + case std::char_traits::eof(): + case '\0': + { + error_message = "invalid comment; missing closing '*/'"; + return false; + } + + case '*': + { + switch (get()) + { + case '/': + return true; + + default: + { + unget(); + continue; + } + } + } + + default: + continue; + } + } + } + + // unexpected character after reading '/' + default: + { + error_message = "invalid comment; expecting '/' or '*' after '/'"; + return false; + } + } + } + + JSON_HEDLEY_NON_NULL(2) + static void strtof(float& f, const char* str, char** endptr) noexcept + { + f = std::strtof(str, endptr); + } + + JSON_HEDLEY_NON_NULL(2) + static void strtof(double& f, const char* str, char** endptr) noexcept + { + f = std::strtod(str, endptr); + } + + JSON_HEDLEY_NON_NULL(2) + static void strtof(long double& f, const char* str, char** endptr) noexcept + { + f = std::strtold(str, endptr); + } + + /*! + @brief scan a number literal + + This function scans a string according to Sect. 6 of RFC 8259. + + The function is realized with a deterministic finite state machine derived + from the grammar described in RFC 8259. Starting in state "init", the + input is read and used to determined the next state. Only state "done" + accepts the number. State "error" is a trap state to model errors. In the + table below, "anything" means any character but the ones listed before. + + state | 0 | 1-9 | e E | + | - | . | anything + ---------|----------|----------|----------|---------|---------|----------|----------- + init | zero | any1 | [error] | [error] | minus | [error] | [error] + minus | zero | any1 | [error] | [error] | [error] | [error] | [error] + zero | done | done | exponent | done | done | decimal1 | done + any1 | any1 | any1 | exponent | done | done | decimal1 | done + decimal1 | decimal2 | decimal2 | [error] | [error] | [error] | [error] | [error] + decimal2 | decimal2 | decimal2 | exponent | done | done | done | done + exponent | any2 | any2 | [error] | sign | sign | [error] | [error] + sign | any2 | any2 | [error] | [error] | [error] | [error] | [error] + any2 | any2 | any2 | done | done | done | done | done + + The state machine is realized with one label per state (prefixed with + "scan_number_") and `goto` statements between them. The state machine + contains cycles, but any cycle can be left when EOF is read. Therefore, + the function is guaranteed to terminate. + + During scanning, the read bytes are stored in token_buffer. This string is + then converted to a signed integer, an unsigned integer, or a + floating-point number. + + @return token_type::value_unsigned, token_type::value_integer, or + token_type::value_float if number could be successfully scanned, + token_type::parse_error otherwise + + @note The scanner is independent of the current locale. Internally, the + locale's decimal point is used instead of `.` to work with the + locale-dependent converters. + */ + token_type scan_number() // lgtm [cpp/use-of-goto] + { + // reset token_buffer to store the number's bytes + reset(); + + // the type of the parsed number; initially set to unsigned; will be + // changed if minus sign, decimal point or exponent is read + token_type number_type = token_type::value_unsigned; + + // state (init): we just found out we need to scan a number + switch (current) + { + case '-': + { + add(current); + goto scan_number_minus; + } + + case '0': + { + add(current); + goto scan_number_zero; + } + + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any1; + } + + // all other characters are rejected outside scan_number() + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + +scan_number_minus: + // state: we just parsed a leading minus sign + number_type = token_type::value_integer; + switch (get()) + { + case '0': + { + add(current); + goto scan_number_zero; + } + + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any1; + } + + default: + { + error_message = "invalid number; expected digit after '-'"; + return token_type::parse_error; + } + } + +scan_number_zero: + // state: we just parse a zero (maybe with a leading minus sign) + switch (get()) + { + case '.': + { + add(decimal_point_char); + goto scan_number_decimal1; + } + + case 'e': + case 'E': + { + add(current); + goto scan_number_exponent; + } + + default: + goto scan_number_done; + } + +scan_number_any1: + // state: we just parsed a number 0-9 (maybe with a leading minus sign) + switch (get()) + { + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any1; + } + + case '.': + { + add(decimal_point_char); + goto scan_number_decimal1; + } + + case 'e': + case 'E': + { + add(current); + goto scan_number_exponent; + } + + default: + goto scan_number_done; + } + +scan_number_decimal1: + // state: we just parsed a decimal point + number_type = token_type::value_float; + switch (get()) + { + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_decimal2; + } + + default: + { + error_message = "invalid number; expected digit after '.'"; + return token_type::parse_error; + } + } + +scan_number_decimal2: + // we just parsed at least one number after a decimal point + switch (get()) + { + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_decimal2; + } + + case 'e': + case 'E': + { + add(current); + goto scan_number_exponent; + } + + default: + goto scan_number_done; + } + +scan_number_exponent: + // we just parsed an exponent + number_type = token_type::value_float; + switch (get()) + { + case '+': + case '-': + { + add(current); + goto scan_number_sign; + } + + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any2; + } + + default: + { + error_message = + "invalid number; expected '+', '-', or digit after exponent"; + return token_type::parse_error; + } + } + +scan_number_sign: + // we just parsed an exponent sign + switch (get()) + { + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any2; + } + + default: + { + error_message = "invalid number; expected digit after exponent sign"; + return token_type::parse_error; + } + } + +scan_number_any2: + // we just parsed a number after the exponent or exponent sign + switch (get()) + { + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + { + add(current); + goto scan_number_any2; + } + + default: + goto scan_number_done; + } + +scan_number_done: + // unget the character after the number (we only read it to know that + // we are done scanning a number) + unget(); + + char* endptr = nullptr; // NOLINT(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + errno = 0; + + // try to parse integers first and fall back to floats + if (number_type == token_type::value_unsigned) + { + const auto x = std::strtoull(token_buffer.data(), &endptr, 10); + + // we checked the number format before + JSON_ASSERT(endptr == token_buffer.data() + token_buffer.size()); + + if (errno == 0) + { + value_unsigned = static_cast(x); + if (value_unsigned == x) + { + return token_type::value_unsigned; + } + } + } + else if (number_type == token_type::value_integer) + { + const auto x = std::strtoll(token_buffer.data(), &endptr, 10); + + // we checked the number format before + JSON_ASSERT(endptr == token_buffer.data() + token_buffer.size()); + + if (errno == 0) + { + value_integer = static_cast(x); + if (value_integer == x) + { + return token_type::value_integer; + } + } + } + + // this code is reached if we parse a floating-point number or if an + // integer conversion above failed + strtof(value_float, token_buffer.data(), &endptr); + + // we checked the number format before + JSON_ASSERT(endptr == token_buffer.data() + token_buffer.size()); + + return token_type::value_float; + } + + /*! + @param[in] literal_text the literal text to expect + @param[in] length the length of the passed literal text + @param[in] return_type the token type to return on success + */ + JSON_HEDLEY_NON_NULL(2) + token_type scan_literal(const char_type* literal_text, const std::size_t length, + token_type return_type) + { + JSON_ASSERT(std::char_traits::to_char_type(current) == literal_text[0]); + for (std::size_t i = 1; i < length; ++i) + { + if (JSON_HEDLEY_UNLIKELY(std::char_traits::to_char_type(get()) != literal_text[i])) + { + error_message = "invalid literal"; + return token_type::parse_error; + } + } + return return_type; + } + + ///////////////////// + // input management + ///////////////////// + + /// reset token_buffer; current character is beginning of token + void reset() noexcept + { + token_buffer.clear(); + token_string.clear(); + token_string.push_back(std::char_traits::to_char_type(current)); + } + + /* + @brief get next character from the input + + This function provides the interface to the used input adapter. It does + not throw in case the input reached EOF, but returns a + `std::char_traits::eof()` in that case. Stores the scanned characters + for use in error messages. + + @return character read from the input + */ + char_int_type get() + { + ++position.chars_read_total; + ++position.chars_read_current_line; + + if (next_unget) + { + // just reset the next_unget variable and work with current + next_unget = false; + } + else + { + current = ia.get_character(); + } + + if (JSON_HEDLEY_LIKELY(current != std::char_traits::eof())) + { + token_string.push_back(std::char_traits::to_char_type(current)); + } + + if (current == '\n') + { + ++position.lines_read; + position.chars_read_current_line = 0; + } + + return current; + } + + /*! + @brief unget current character (read it again on next get) + + We implement unget by setting variable next_unget to true. The input is not + changed - we just simulate ungetting by modifying chars_read_total, + chars_read_current_line, and token_string. The next call to get() will + behave as if the unget character is read again. + */ + void unget() + { + next_unget = true; + + --position.chars_read_total; + + // in case we "unget" a newline, we have to also decrement the lines_read + if (position.chars_read_current_line == 0) + { + if (position.lines_read > 0) + { + --position.lines_read; + } + } + else + { + --position.chars_read_current_line; + } + + if (JSON_HEDLEY_LIKELY(current != std::char_traits::eof())) + { + JSON_ASSERT(!token_string.empty()); + token_string.pop_back(); + } + } + + /// add a character to token_buffer + void add(char_int_type c) + { + token_buffer.push_back(static_cast(c)); + } + + public: + ///////////////////// + // value getters + ///////////////////// + + /// return integer value + constexpr number_integer_t get_number_integer() const noexcept + { + return value_integer; + } + + /// return unsigned integer value + constexpr number_unsigned_t get_number_unsigned() const noexcept + { + return value_unsigned; + } + + /// return floating-point value + constexpr number_float_t get_number_float() const noexcept + { + return value_float; + } + + /// return current string value (implicitly resets the token; useful only once) + string_t& get_string() + { + return token_buffer; + } + + ///////////////////// + // diagnostics + ///////////////////// + + /// return position of last read token + constexpr position_t get_position() const noexcept + { + return position; + } + + /// return the last read token (for errors only). Will never contain EOF + /// (an arbitrary value that is not a valid char value, often -1), because + /// 255 may legitimately occur. May contain NUL, which should be escaped. + std::string get_token_string() const + { + // escape control characters + std::string result; + for (const auto c : token_string) + { + if (static_cast(c) <= '\x1F') + { + // escape control characters + std::array cs{{}}; + static_cast((std::snprintf)(cs.data(), cs.size(), "", static_cast(c))); // NOLINT(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + result += cs.data(); + } + else + { + // add character as is + result.push_back(static_cast(c)); + } + } + + return result; + } + + /// return syntax error message + JSON_HEDLEY_RETURNS_NON_NULL + constexpr const char* get_error_message() const noexcept + { + return error_message; + } + + ///////////////////// + // actual scanner + ///////////////////// + + /*! + @brief skip the UTF-8 byte order mark + @return true iff there is no BOM or the correct BOM has been skipped + */ + bool skip_bom() + { + if (get() == 0xEF) + { + // check if we completely parse the BOM + return get() == 0xBB && get() == 0xBF; + } + + // the first character is not the beginning of the BOM; unget it to + // process is later + unget(); + return true; + } + + void skip_whitespace() + { + do + { + get(); + } + while (current == ' ' || current == '\t' || current == '\n' || current == '\r'); + } + + token_type scan() + { + // initially, skip the BOM + if (position.chars_read_total == 0 && !skip_bom()) + { + error_message = "invalid BOM; must be 0xEF 0xBB 0xBF if given"; + return token_type::parse_error; + } + + // read next character and ignore whitespace + skip_whitespace(); + + // ignore comments + while (ignore_comments && current == '/') + { + if (!scan_comment()) + { + return token_type::parse_error; + } + + // skip following whitespace + skip_whitespace(); + } + + switch (current) + { + // structural characters + case '[': + return token_type::begin_array; + case ']': + return token_type::end_array; + case '{': + return token_type::begin_object; + case '}': + return token_type::end_object; + case ':': + return token_type::name_separator; + case ',': + return token_type::value_separator; + + // literals + case 't': + { + std::array true_literal = {{static_cast('t'), static_cast('r'), static_cast('u'), static_cast('e')}}; + return scan_literal(true_literal.data(), true_literal.size(), token_type::literal_true); + } + case 'f': + { + std::array false_literal = {{static_cast('f'), static_cast('a'), static_cast('l'), static_cast('s'), static_cast('e')}}; + return scan_literal(false_literal.data(), false_literal.size(), token_type::literal_false); + } + case 'n': + { + std::array null_literal = {{static_cast('n'), static_cast('u'), static_cast('l'), static_cast('l')}}; + return scan_literal(null_literal.data(), null_literal.size(), token_type::literal_null); + } + + // string + case '\"': + return scan_string(); + + // number + case '-': + case '0': + case '1': + case '2': + case '3': + case '4': + case '5': + case '6': + case '7': + case '8': + case '9': + return scan_number(); + + // end of input (the null byte is needed when parsing from + // string literals) + case '\0': + case std::char_traits::eof(): + return token_type::end_of_input; + + // error + default: + error_message = "invalid literal"; + return token_type::parse_error; + } + } + + private: + /// input adapter + InputAdapterType ia; + + /// whether comments should be ignored (true) or signaled as errors (false) + const bool ignore_comments = false; + + /// the current character + char_int_type current = std::char_traits::eof(); + + /// whether the next get() call should just return current + bool next_unget = false; + + /// the start position of the current token + position_t position {}; + + /// raw input token string (for error messages) + std::vector token_string {}; + + /// buffer for variable-length tokens (numbers, strings) + string_t token_buffer {}; + + /// a description of occurred lexer errors + const char* error_message = ""; + + // number values + number_integer_t value_integer = 0; + number_unsigned_t value_unsigned = 0; + number_float_t value_float = 0; + + /// the decimal point + const char_int_type decimal_point_char = '.'; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +#include // size_t +#include // declval +#include // string + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +template +using null_function_t = decltype(std::declval().null()); + +template +using boolean_function_t = + decltype(std::declval().boolean(std::declval())); + +template +using number_integer_function_t = + decltype(std::declval().number_integer(std::declval())); + +template +using number_unsigned_function_t = + decltype(std::declval().number_unsigned(std::declval())); + +template +using number_float_function_t = decltype(std::declval().number_float( + std::declval(), std::declval())); + +template +using string_function_t = + decltype(std::declval().string(std::declval())); + +template +using binary_function_t = + decltype(std::declval().binary(std::declval())); + +template +using start_object_function_t = + decltype(std::declval().start_object(std::declval())); + +template +using key_function_t = + decltype(std::declval().key(std::declval())); + +template +using end_object_function_t = decltype(std::declval().end_object()); + +template +using start_array_function_t = + decltype(std::declval().start_array(std::declval())); + +template +using end_array_function_t = decltype(std::declval().end_array()); + +template +using parse_error_function_t = decltype(std::declval().parse_error( + std::declval(), std::declval(), + std::declval())); + +template +struct is_sax +{ + private: + static_assert(is_basic_json::value, + "BasicJsonType must be of type basic_json<...>"); + + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + using exception_t = typename BasicJsonType::exception; + + public: + static constexpr bool value = + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value && + is_detected_exact::value; +}; + +template +struct is_sax_static_asserts +{ + private: + static_assert(is_basic_json::value, + "BasicJsonType must be of type basic_json<...>"); + + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + using exception_t = typename BasicJsonType::exception; + + public: + static_assert(is_detected_exact::value, + "Missing/invalid function: bool null()"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool boolean(bool)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool boolean(bool)"); + static_assert( + is_detected_exact::value, + "Missing/invalid function: bool number_integer(number_integer_t)"); + static_assert( + is_detected_exact::value, + "Missing/invalid function: bool number_unsigned(number_unsigned_t)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool number_float(number_float_t, const string_t&)"); + static_assert( + is_detected_exact::value, + "Missing/invalid function: bool string(string_t&)"); + static_assert( + is_detected_exact::value, + "Missing/invalid function: bool binary(binary_t&)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool start_object(std::size_t)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool key(string_t&)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool end_object()"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool start_array(std::size_t)"); + static_assert(is_detected_exact::value, + "Missing/invalid function: bool end_array()"); + static_assert( + is_detected_exact::value, + "Missing/invalid function: bool parse_error(std::size_t, const " + "std::string&, const exception&)"); +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ + +/// how to treat CBOR tags +enum class cbor_tag_handler_t +{ + error, ///< throw a parse_error exception in case of a tag + ignore, ///< ignore tags + store ///< store tags as binary type +}; + +/*! +@brief determine system byte order + +@return true if and only if system's byte order is little endian + +@note from https://stackoverflow.com/a/1001328/266378 +*/ +static inline bool little_endianness(int num = 1) noexcept +{ + return *reinterpret_cast(&num) == 1; +} + + +/////////////////// +// binary reader // +/////////////////// + +/*! +@brief deserialization of CBOR, MessagePack, and UBJSON values +*/ +template> +class binary_reader +{ + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + using json_sax_t = SAX; + using char_type = typename InputAdapterType::char_type; + using char_int_type = typename std::char_traits::int_type; + + public: + /*! + @brief create a binary reader + + @param[in] adapter input adapter to read from + */ + explicit binary_reader(InputAdapterType&& adapter) noexcept : ia(std::move(adapter)) + { + (void)detail::is_sax_static_asserts {}; + } + + // make class move-only + binary_reader(const binary_reader&) = delete; + binary_reader(binary_reader&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + binary_reader& operator=(const binary_reader&) = delete; + binary_reader& operator=(binary_reader&&) = default; // NOLINT(hicpp-noexcept-move,performance-noexcept-move-constructor) + ~binary_reader() = default; + + /*! + @param[in] format the binary format to parse + @param[in] sax_ a SAX event processor + @param[in] strict whether to expect the input to be consumed completed + @param[in] tag_handler how to treat CBOR tags + + @return whether parsing was successful + */ + JSON_HEDLEY_NON_NULL(3) + bool sax_parse(const input_format_t format, + json_sax_t* sax_, + const bool strict = true, + const cbor_tag_handler_t tag_handler = cbor_tag_handler_t::error) + { + sax = sax_; + bool result = false; + + switch (format) + { + case input_format_t::bson: + result = parse_bson_internal(); + break; + + case input_format_t::cbor: + result = parse_cbor_internal(true, tag_handler); + break; + + case input_format_t::msgpack: + result = parse_msgpack_internal(); + break; + + case input_format_t::ubjson: + result = parse_ubjson_internal(); + break; + + case input_format_t::json: // LCOV_EXCL_LINE + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + + // strict mode: next byte must be EOF + if (result && strict) + { + if (format == input_format_t::ubjson) + { + get_ignore_noop(); + } + else + { + get(); + } + + if (JSON_HEDLEY_UNLIKELY(current != std::char_traits::eof())) + { + return sax->parse_error(chars_read, get_token_string(), + parse_error::create(110, chars_read, exception_message(format, "expected end of input; last byte: 0x" + get_token_string(), "value"), BasicJsonType())); + } + } + + return result; + } + + private: + ////////// + // BSON // + ////////// + + /*! + @brief Reads in a BSON-object and passes it to the SAX-parser. + @return whether a valid BSON-value was passed to the SAX parser + */ + bool parse_bson_internal() + { + std::int32_t document_size{}; + get_number(input_format_t::bson, document_size); + + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(static_cast(-1)))) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_bson_element_list(/*is_array*/false))) + { + return false; + } + + return sax->end_object(); + } + + /*! + @brief Parses a C-style string from the BSON input. + @param[in,out] result A reference to the string variable where the read + string is to be stored. + @return `true` if the \x00-byte indicating the end of the string was + encountered before the EOF; false` indicates an unexpected EOF. + */ + bool get_bson_cstr(string_t& result) + { + auto out = std::back_inserter(result); + while (true) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::bson, "cstring"))) + { + return false; + } + if (current == 0x00) + { + return true; + } + *out++ = static_cast(current); + } + } + + /*! + @brief Parses a zero-terminated string of length @a len from the BSON + input. + @param[in] len The length (including the zero-byte at the end) of the + string to be read. + @param[in,out] result A reference to the string variable where the read + string is to be stored. + @tparam NumberType The type of the length @a len + @pre len >= 1 + @return `true` if the string was successfully parsed + */ + template + bool get_bson_string(const NumberType len, string_t& result) + { + if (JSON_HEDLEY_UNLIKELY(len < 1)) + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::bson, "string length must be at least 1, is " + std::to_string(len), "string"), BasicJsonType())); + } + + return get_string(input_format_t::bson, len - static_cast(1), result) && get() != std::char_traits::eof(); + } + + /*! + @brief Parses a byte array input of length @a len from the BSON input. + @param[in] len The length of the byte array to be read. + @param[in,out] result A reference to the binary variable where the read + array is to be stored. + @tparam NumberType The type of the length @a len + @pre len >= 0 + @return `true` if the byte array was successfully parsed + */ + template + bool get_bson_binary(const NumberType len, binary_t& result) + { + if (JSON_HEDLEY_UNLIKELY(len < 0)) + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::bson, "byte array length cannot be negative, is " + std::to_string(len), "binary"), BasicJsonType())); + } + + // All BSON binary values have a subtype + std::uint8_t subtype{}; + get_number(input_format_t::bson, subtype); + result.set_subtype(subtype); + + return get_binary(input_format_t::bson, len, result); + } + + /*! + @brief Read a BSON document element of the given @a element_type. + @param[in] element_type The BSON element type, c.f. http://bsonspec.org/spec.html + @param[in] element_type_parse_position The position in the input stream, + where the `element_type` was read. + @warning Not all BSON element types are supported yet. An unsupported + @a element_type will give rise to a parse_error.114: + Unsupported BSON record type 0x... + @return whether a valid BSON-object/array was passed to the SAX parser + */ + bool parse_bson_element_internal(const char_int_type element_type, + const std::size_t element_type_parse_position) + { + switch (element_type) + { + case 0x01: // double + { + double number{}; + return get_number(input_format_t::bson, number) && sax->number_float(static_cast(number), ""); + } + + case 0x02: // string + { + std::int32_t len{}; + string_t value; + return get_number(input_format_t::bson, len) && get_bson_string(len, value) && sax->string(value); + } + + case 0x03: // object + { + return parse_bson_internal(); + } + + case 0x04: // array + { + return parse_bson_array(); + } + + case 0x05: // binary + { + std::int32_t len{}; + binary_t value; + return get_number(input_format_t::bson, len) && get_bson_binary(len, value) && sax->binary(value); + } + + case 0x08: // boolean + { + return sax->boolean(get() != 0); + } + + case 0x0A: // null + { + return sax->null(); + } + + case 0x10: // int32 + { + std::int32_t value{}; + return get_number(input_format_t::bson, value) && sax->number_integer(value); + } + + case 0x12: // int64 + { + std::int64_t value{}; + return get_number(input_format_t::bson, value) && sax->number_integer(value); + } + + default: // anything else not supported (yet) + { + std::array cr{{}}; + static_cast((std::snprintf)(cr.data(), cr.size(), "%.2hhX", static_cast(element_type))); // NOLINT(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + return sax->parse_error(element_type_parse_position, std::string(cr.data()), parse_error::create(114, element_type_parse_position, "Unsupported BSON record type 0x" + std::string(cr.data()), BasicJsonType())); + } + } + } + + /*! + @brief Read a BSON element list (as specified in the BSON-spec) + + The same binary layout is used for objects and arrays, hence it must be + indicated with the argument @a is_array which one is expected + (true --> array, false --> object). + + @param[in] is_array Determines if the element list being read is to be + treated as an object (@a is_array == false), or as an + array (@a is_array == true). + @return whether a valid BSON-object/array was passed to the SAX parser + */ + bool parse_bson_element_list(const bool is_array) + { + string_t key; + + while (auto element_type = get()) + { + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::bson, "element list"))) + { + return false; + } + + const std::size_t element_type_parse_position = chars_read; + if (JSON_HEDLEY_UNLIKELY(!get_bson_cstr(key))) + { + return false; + } + + if (!is_array && !sax->key(key)) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_bson_element_internal(element_type, element_type_parse_position))) + { + return false; + } + + // get_bson_cstr only appends + key.clear(); + } + + return true; + } + + /*! + @brief Reads an array from the BSON input and passes it to the SAX-parser. + @return whether a valid BSON-array was passed to the SAX parser + */ + bool parse_bson_array() + { + std::int32_t document_size{}; + get_number(input_format_t::bson, document_size); + + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(static_cast(-1)))) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_bson_element_list(/*is_array*/true))) + { + return false; + } + + return sax->end_array(); + } + + ////////// + // CBOR // + ////////// + + /*! + @param[in] get_char whether a new character should be retrieved from the + input (true) or whether the last read character should + be considered instead (false) + @param[in] tag_handler how CBOR tags should be treated + + @return whether a valid CBOR value was passed to the SAX parser + */ + bool parse_cbor_internal(const bool get_char, + const cbor_tag_handler_t tag_handler) + { + switch (get_char ? get() : current) + { + // EOF + case std::char_traits::eof(): + return unexpect_eof(input_format_t::cbor, "value"); + + // Integer 0x00..0x17 (0..23) + case 0x00: + case 0x01: + case 0x02: + case 0x03: + case 0x04: + case 0x05: + case 0x06: + case 0x07: + case 0x08: + case 0x09: + case 0x0A: + case 0x0B: + case 0x0C: + case 0x0D: + case 0x0E: + case 0x0F: + case 0x10: + case 0x11: + case 0x12: + case 0x13: + case 0x14: + case 0x15: + case 0x16: + case 0x17: + return sax->number_unsigned(static_cast(current)); + + case 0x18: // Unsigned integer (one-byte uint8_t follows) + { + std::uint8_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_unsigned(number); + } + + case 0x19: // Unsigned integer (two-byte uint16_t follows) + { + std::uint16_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_unsigned(number); + } + + case 0x1A: // Unsigned integer (four-byte uint32_t follows) + { + std::uint32_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_unsigned(number); + } + + case 0x1B: // Unsigned integer (eight-byte uint64_t follows) + { + std::uint64_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_unsigned(number); + } + + // Negative integer -1-0x00..-1-0x17 (-1..-24) + case 0x20: + case 0x21: + case 0x22: + case 0x23: + case 0x24: + case 0x25: + case 0x26: + case 0x27: + case 0x28: + case 0x29: + case 0x2A: + case 0x2B: + case 0x2C: + case 0x2D: + case 0x2E: + case 0x2F: + case 0x30: + case 0x31: + case 0x32: + case 0x33: + case 0x34: + case 0x35: + case 0x36: + case 0x37: + return sax->number_integer(static_cast(0x20 - 1 - current)); + + case 0x38: // Negative integer (one-byte uint8_t follows) + { + std::uint8_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_integer(static_cast(-1) - number); + } + + case 0x39: // Negative integer -1-n (two-byte uint16_t follows) + { + std::uint16_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_integer(static_cast(-1) - number); + } + + case 0x3A: // Negative integer -1-n (four-byte uint32_t follows) + { + std::uint32_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_integer(static_cast(-1) - number); + } + + case 0x3B: // Negative integer -1-n (eight-byte uint64_t follows) + { + std::uint64_t number{}; + return get_number(input_format_t::cbor, number) && sax->number_integer(static_cast(-1) + - static_cast(number)); + } + + // Binary data (0x00..0x17 bytes follow) + case 0x40: + case 0x41: + case 0x42: + case 0x43: + case 0x44: + case 0x45: + case 0x46: + case 0x47: + case 0x48: + case 0x49: + case 0x4A: + case 0x4B: + case 0x4C: + case 0x4D: + case 0x4E: + case 0x4F: + case 0x50: + case 0x51: + case 0x52: + case 0x53: + case 0x54: + case 0x55: + case 0x56: + case 0x57: + case 0x58: // Binary data (one-byte uint8_t for n follows) + case 0x59: // Binary data (two-byte uint16_t for n follow) + case 0x5A: // Binary data (four-byte uint32_t for n follow) + case 0x5B: // Binary data (eight-byte uint64_t for n follow) + case 0x5F: // Binary data (indefinite length) + { + binary_t b; + return get_cbor_binary(b) && sax->binary(b); + } + + // UTF-8 string (0x00..0x17 bytes follow) + case 0x60: + case 0x61: + case 0x62: + case 0x63: + case 0x64: + case 0x65: + case 0x66: + case 0x67: + case 0x68: + case 0x69: + case 0x6A: + case 0x6B: + case 0x6C: + case 0x6D: + case 0x6E: + case 0x6F: + case 0x70: + case 0x71: + case 0x72: + case 0x73: + case 0x74: + case 0x75: + case 0x76: + case 0x77: + case 0x78: // UTF-8 string (one-byte uint8_t for n follows) + case 0x79: // UTF-8 string (two-byte uint16_t for n follow) + case 0x7A: // UTF-8 string (four-byte uint32_t for n follow) + case 0x7B: // UTF-8 string (eight-byte uint64_t for n follow) + case 0x7F: // UTF-8 string (indefinite length) + { + string_t s; + return get_cbor_string(s) && sax->string(s); + } + + // array (0x00..0x17 data items follow) + case 0x80: + case 0x81: + case 0x82: + case 0x83: + case 0x84: + case 0x85: + case 0x86: + case 0x87: + case 0x88: + case 0x89: + case 0x8A: + case 0x8B: + case 0x8C: + case 0x8D: + case 0x8E: + case 0x8F: + case 0x90: + case 0x91: + case 0x92: + case 0x93: + case 0x94: + case 0x95: + case 0x96: + case 0x97: + return get_cbor_array(static_cast(static_cast(current) & 0x1Fu), tag_handler); + + case 0x98: // array (one-byte uint8_t for n follows) + { + std::uint8_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_array(static_cast(len), tag_handler); + } + + case 0x99: // array (two-byte uint16_t for n follow) + { + std::uint16_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_array(static_cast(len), tag_handler); + } + + case 0x9A: // array (four-byte uint32_t for n follow) + { + std::uint32_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_array(static_cast(len), tag_handler); + } + + case 0x9B: // array (eight-byte uint64_t for n follow) + { + std::uint64_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_array(detail::conditional_static_cast(len), tag_handler); + } + + case 0x9F: // array (indefinite length) + return get_cbor_array(static_cast(-1), tag_handler); + + // map (0x00..0x17 pairs of data items follow) + case 0xA0: + case 0xA1: + case 0xA2: + case 0xA3: + case 0xA4: + case 0xA5: + case 0xA6: + case 0xA7: + case 0xA8: + case 0xA9: + case 0xAA: + case 0xAB: + case 0xAC: + case 0xAD: + case 0xAE: + case 0xAF: + case 0xB0: + case 0xB1: + case 0xB2: + case 0xB3: + case 0xB4: + case 0xB5: + case 0xB6: + case 0xB7: + return get_cbor_object(static_cast(static_cast(current) & 0x1Fu), tag_handler); + + case 0xB8: // map (one-byte uint8_t for n follows) + { + std::uint8_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_object(static_cast(len), tag_handler); + } + + case 0xB9: // map (two-byte uint16_t for n follow) + { + std::uint16_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_object(static_cast(len), tag_handler); + } + + case 0xBA: // map (four-byte uint32_t for n follow) + { + std::uint32_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_object(static_cast(len), tag_handler); + } + + case 0xBB: // map (eight-byte uint64_t for n follow) + { + std::uint64_t len{}; + return get_number(input_format_t::cbor, len) && get_cbor_object(detail::conditional_static_cast(len), tag_handler); + } + + case 0xBF: // map (indefinite length) + return get_cbor_object(static_cast(-1), tag_handler); + + case 0xC6: // tagged item + case 0xC7: + case 0xC8: + case 0xC9: + case 0xCA: + case 0xCB: + case 0xCC: + case 0xCD: + case 0xCE: + case 0xCF: + case 0xD0: + case 0xD1: + case 0xD2: + case 0xD3: + case 0xD4: + case 0xD8: // tagged item (1 bytes follow) + case 0xD9: // tagged item (2 bytes follow) + case 0xDA: // tagged item (4 bytes follow) + case 0xDB: // tagged item (8 bytes follow) + { + switch (tag_handler) + { + case cbor_tag_handler_t::error: + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::cbor, "invalid byte: 0x" + last_token, "value"), BasicJsonType())); + } + + case cbor_tag_handler_t::ignore: + { + // ignore binary subtype + switch (current) + { + case 0xD8: + { + std::uint8_t subtype_to_ignore{}; + get_number(input_format_t::cbor, subtype_to_ignore); + break; + } + case 0xD9: + { + std::uint16_t subtype_to_ignore{}; + get_number(input_format_t::cbor, subtype_to_ignore); + break; + } + case 0xDA: + { + std::uint32_t subtype_to_ignore{}; + get_number(input_format_t::cbor, subtype_to_ignore); + break; + } + case 0xDB: + { + std::uint64_t subtype_to_ignore{}; + get_number(input_format_t::cbor, subtype_to_ignore); + break; + } + default: + break; + } + return parse_cbor_internal(true, tag_handler); + } + + case cbor_tag_handler_t::store: + { + binary_t b; + // use binary subtype and store in binary container + switch (current) + { + case 0xD8: + { + std::uint8_t subtype{}; + get_number(input_format_t::cbor, subtype); + b.set_subtype(detail::conditional_static_cast(subtype)); + break; + } + case 0xD9: + { + std::uint16_t subtype{}; + get_number(input_format_t::cbor, subtype); + b.set_subtype(detail::conditional_static_cast(subtype)); + break; + } + case 0xDA: + { + std::uint32_t subtype{}; + get_number(input_format_t::cbor, subtype); + b.set_subtype(detail::conditional_static_cast(subtype)); + break; + } + case 0xDB: + { + std::uint64_t subtype{}; + get_number(input_format_t::cbor, subtype); + b.set_subtype(detail::conditional_static_cast(subtype)); + break; + } + default: + return parse_cbor_internal(true, tag_handler); + } + get(); + return get_cbor_binary(b) && sax->binary(b); + } + + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + return false; // LCOV_EXCL_LINE + } + } + + case 0xF4: // false + return sax->boolean(false); + + case 0xF5: // true + return sax->boolean(true); + + case 0xF6: // null + return sax->null(); + + case 0xF9: // Half-Precision Float (two-byte IEEE 754) + { + const auto byte1_raw = get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::cbor, "number"))) + { + return false; + } + const auto byte2_raw = get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::cbor, "number"))) + { + return false; + } + + const auto byte1 = static_cast(byte1_raw); + const auto byte2 = static_cast(byte2_raw); + + // code from RFC 7049, Appendix D, Figure 3: + // As half-precision floating-point numbers were only added + // to IEEE 754 in 2008, today's programming platforms often + // still only have limited support for them. It is very + // easy to include at least decoding support for them even + // without such support. An example of a small decoder for + // half-precision floating-point numbers in the C language + // is shown in Fig. 3. + const auto half = static_cast((byte1 << 8u) + byte2); + const double val = [&half] + { + const int exp = (half >> 10u) & 0x1Fu; + const unsigned int mant = half & 0x3FFu; + JSON_ASSERT(0 <= exp&& exp <= 32); + JSON_ASSERT(mant <= 1024); + switch (exp) + { + case 0: + return std::ldexp(mant, -24); + case 31: + return (mant == 0) + ? std::numeric_limits::infinity() + : std::numeric_limits::quiet_NaN(); + default: + return std::ldexp(mant + 1024, exp - 25); + } + }(); + return sax->number_float((half & 0x8000u) != 0 + ? static_cast(-val) + : static_cast(val), ""); + } + + case 0xFA: // Single-Precision Float (four-byte IEEE 754) + { + float number{}; + return get_number(input_format_t::cbor, number) && sax->number_float(static_cast(number), ""); + } + + case 0xFB: // Double-Precision Float (eight-byte IEEE 754) + { + double number{}; + return get_number(input_format_t::cbor, number) && sax->number_float(static_cast(number), ""); + } + + default: // anything else (0xFF is handled inside the other types) + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::cbor, "invalid byte: 0x" + last_token, "value"), BasicJsonType())); + } + } + } + + /*! + @brief reads a CBOR string + + This function first reads starting bytes to determine the expected + string length and then copies this number of bytes into a string. + Additionally, CBOR's strings with indefinite lengths are supported. + + @param[out] result created string + + @return whether string creation completed + */ + bool get_cbor_string(string_t& result) + { + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::cbor, "string"))) + { + return false; + } + + switch (current) + { + // UTF-8 string (0x00..0x17 bytes follow) + case 0x60: + case 0x61: + case 0x62: + case 0x63: + case 0x64: + case 0x65: + case 0x66: + case 0x67: + case 0x68: + case 0x69: + case 0x6A: + case 0x6B: + case 0x6C: + case 0x6D: + case 0x6E: + case 0x6F: + case 0x70: + case 0x71: + case 0x72: + case 0x73: + case 0x74: + case 0x75: + case 0x76: + case 0x77: + { + return get_string(input_format_t::cbor, static_cast(current) & 0x1Fu, result); + } + + case 0x78: // UTF-8 string (one-byte uint8_t for n follows) + { + std::uint8_t len{}; + return get_number(input_format_t::cbor, len) && get_string(input_format_t::cbor, len, result); + } + + case 0x79: // UTF-8 string (two-byte uint16_t for n follow) + { + std::uint16_t len{}; + return get_number(input_format_t::cbor, len) && get_string(input_format_t::cbor, len, result); + } + + case 0x7A: // UTF-8 string (four-byte uint32_t for n follow) + { + std::uint32_t len{}; + return get_number(input_format_t::cbor, len) && get_string(input_format_t::cbor, len, result); + } + + case 0x7B: // UTF-8 string (eight-byte uint64_t for n follow) + { + std::uint64_t len{}; + return get_number(input_format_t::cbor, len) && get_string(input_format_t::cbor, len, result); + } + + case 0x7F: // UTF-8 string (indefinite length) + { + while (get() != 0xFF) + { + string_t chunk; + if (!get_cbor_string(chunk)) + { + return false; + } + result.append(chunk); + } + return true; + } + + default: + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::cbor, "expected length specification (0x60-0x7B) or indefinite string type (0x7F); last byte: 0x" + last_token, "string"), BasicJsonType())); + } + } + } + + /*! + @brief reads a CBOR byte array + + This function first reads starting bytes to determine the expected + byte array length and then copies this number of bytes into the byte array. + Additionally, CBOR's byte arrays with indefinite lengths are supported. + + @param[out] result created byte array + + @return whether byte array creation completed + */ + bool get_cbor_binary(binary_t& result) + { + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::cbor, "binary"))) + { + return false; + } + + switch (current) + { + // Binary data (0x00..0x17 bytes follow) + case 0x40: + case 0x41: + case 0x42: + case 0x43: + case 0x44: + case 0x45: + case 0x46: + case 0x47: + case 0x48: + case 0x49: + case 0x4A: + case 0x4B: + case 0x4C: + case 0x4D: + case 0x4E: + case 0x4F: + case 0x50: + case 0x51: + case 0x52: + case 0x53: + case 0x54: + case 0x55: + case 0x56: + case 0x57: + { + return get_binary(input_format_t::cbor, static_cast(current) & 0x1Fu, result); + } + + case 0x58: // Binary data (one-byte uint8_t for n follows) + { + std::uint8_t len{}; + return get_number(input_format_t::cbor, len) && + get_binary(input_format_t::cbor, len, result); + } + + case 0x59: // Binary data (two-byte uint16_t for n follow) + { + std::uint16_t len{}; + return get_number(input_format_t::cbor, len) && + get_binary(input_format_t::cbor, len, result); + } + + case 0x5A: // Binary data (four-byte uint32_t for n follow) + { + std::uint32_t len{}; + return get_number(input_format_t::cbor, len) && + get_binary(input_format_t::cbor, len, result); + } + + case 0x5B: // Binary data (eight-byte uint64_t for n follow) + { + std::uint64_t len{}; + return get_number(input_format_t::cbor, len) && + get_binary(input_format_t::cbor, len, result); + } + + case 0x5F: // Binary data (indefinite length) + { + while (get() != 0xFF) + { + binary_t chunk; + if (!get_cbor_binary(chunk)) + { + return false; + } + result.insert(result.end(), chunk.begin(), chunk.end()); + } + return true; + } + + default: + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::cbor, "expected length specification (0x40-0x5B) or indefinite binary array type (0x5F); last byte: 0x" + last_token, "binary"), BasicJsonType())); + } + } + } + + /*! + @param[in] len the length of the array or static_cast(-1) for an + array of indefinite size + @param[in] tag_handler how CBOR tags should be treated + @return whether array creation completed + */ + bool get_cbor_array(const std::size_t len, + const cbor_tag_handler_t tag_handler) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(len))) + { + return false; + } + + if (len != static_cast(-1)) + { + for (std::size_t i = 0; i < len; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!parse_cbor_internal(true, tag_handler))) + { + return false; + } + } + } + else + { + while (get() != 0xFF) + { + if (JSON_HEDLEY_UNLIKELY(!parse_cbor_internal(false, tag_handler))) + { + return false; + } + } + } + + return sax->end_array(); + } + + /*! + @param[in] len the length of the object or static_cast(-1) for an + object of indefinite size + @param[in] tag_handler how CBOR tags should be treated + @return whether object creation completed + */ + bool get_cbor_object(const std::size_t len, + const cbor_tag_handler_t tag_handler) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(len))) + { + return false; + } + + if (len != 0) + { + string_t key; + if (len != static_cast(-1)) + { + for (std::size_t i = 0; i < len; ++i) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!get_cbor_string(key) || !sax->key(key))) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_cbor_internal(true, tag_handler))) + { + return false; + } + key.clear(); + } + } + else + { + while (get() != 0xFF) + { + if (JSON_HEDLEY_UNLIKELY(!get_cbor_string(key) || !sax->key(key))) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_cbor_internal(true, tag_handler))) + { + return false; + } + key.clear(); + } + } + } + + return sax->end_object(); + } + + ///////////// + // MsgPack // + ///////////// + + /*! + @return whether a valid MessagePack value was passed to the SAX parser + */ + bool parse_msgpack_internal() + { + switch (get()) + { + // EOF + case std::char_traits::eof(): + return unexpect_eof(input_format_t::msgpack, "value"); + + // positive fixint + case 0x00: + case 0x01: + case 0x02: + case 0x03: + case 0x04: + case 0x05: + case 0x06: + case 0x07: + case 0x08: + case 0x09: + case 0x0A: + case 0x0B: + case 0x0C: + case 0x0D: + case 0x0E: + case 0x0F: + case 0x10: + case 0x11: + case 0x12: + case 0x13: + case 0x14: + case 0x15: + case 0x16: + case 0x17: + case 0x18: + case 0x19: + case 0x1A: + case 0x1B: + case 0x1C: + case 0x1D: + case 0x1E: + case 0x1F: + case 0x20: + case 0x21: + case 0x22: + case 0x23: + case 0x24: + case 0x25: + case 0x26: + case 0x27: + case 0x28: + case 0x29: + case 0x2A: + case 0x2B: + case 0x2C: + case 0x2D: + case 0x2E: + case 0x2F: + case 0x30: + case 0x31: + case 0x32: + case 0x33: + case 0x34: + case 0x35: + case 0x36: + case 0x37: + case 0x38: + case 0x39: + case 0x3A: + case 0x3B: + case 0x3C: + case 0x3D: + case 0x3E: + case 0x3F: + case 0x40: + case 0x41: + case 0x42: + case 0x43: + case 0x44: + case 0x45: + case 0x46: + case 0x47: + case 0x48: + case 0x49: + case 0x4A: + case 0x4B: + case 0x4C: + case 0x4D: + case 0x4E: + case 0x4F: + case 0x50: + case 0x51: + case 0x52: + case 0x53: + case 0x54: + case 0x55: + case 0x56: + case 0x57: + case 0x58: + case 0x59: + case 0x5A: + case 0x5B: + case 0x5C: + case 0x5D: + case 0x5E: + case 0x5F: + case 0x60: + case 0x61: + case 0x62: + case 0x63: + case 0x64: + case 0x65: + case 0x66: + case 0x67: + case 0x68: + case 0x69: + case 0x6A: + case 0x6B: + case 0x6C: + case 0x6D: + case 0x6E: + case 0x6F: + case 0x70: + case 0x71: + case 0x72: + case 0x73: + case 0x74: + case 0x75: + case 0x76: + case 0x77: + case 0x78: + case 0x79: + case 0x7A: + case 0x7B: + case 0x7C: + case 0x7D: + case 0x7E: + case 0x7F: + return sax->number_unsigned(static_cast(current)); + + // fixmap + case 0x80: + case 0x81: + case 0x82: + case 0x83: + case 0x84: + case 0x85: + case 0x86: + case 0x87: + case 0x88: + case 0x89: + case 0x8A: + case 0x8B: + case 0x8C: + case 0x8D: + case 0x8E: + case 0x8F: + return get_msgpack_object(static_cast(static_cast(current) & 0x0Fu)); + + // fixarray + case 0x90: + case 0x91: + case 0x92: + case 0x93: + case 0x94: + case 0x95: + case 0x96: + case 0x97: + case 0x98: + case 0x99: + case 0x9A: + case 0x9B: + case 0x9C: + case 0x9D: + case 0x9E: + case 0x9F: + return get_msgpack_array(static_cast(static_cast(current) & 0x0Fu)); + + // fixstr + case 0xA0: + case 0xA1: + case 0xA2: + case 0xA3: + case 0xA4: + case 0xA5: + case 0xA6: + case 0xA7: + case 0xA8: + case 0xA9: + case 0xAA: + case 0xAB: + case 0xAC: + case 0xAD: + case 0xAE: + case 0xAF: + case 0xB0: + case 0xB1: + case 0xB2: + case 0xB3: + case 0xB4: + case 0xB5: + case 0xB6: + case 0xB7: + case 0xB8: + case 0xB9: + case 0xBA: + case 0xBB: + case 0xBC: + case 0xBD: + case 0xBE: + case 0xBF: + case 0xD9: // str 8 + case 0xDA: // str 16 + case 0xDB: // str 32 + { + string_t s; + return get_msgpack_string(s) && sax->string(s); + } + + case 0xC0: // nil + return sax->null(); + + case 0xC2: // false + return sax->boolean(false); + + case 0xC3: // true + return sax->boolean(true); + + case 0xC4: // bin 8 + case 0xC5: // bin 16 + case 0xC6: // bin 32 + case 0xC7: // ext 8 + case 0xC8: // ext 16 + case 0xC9: // ext 32 + case 0xD4: // fixext 1 + case 0xD5: // fixext 2 + case 0xD6: // fixext 4 + case 0xD7: // fixext 8 + case 0xD8: // fixext 16 + { + binary_t b; + return get_msgpack_binary(b) && sax->binary(b); + } + + case 0xCA: // float 32 + { + float number{}; + return get_number(input_format_t::msgpack, number) && sax->number_float(static_cast(number), ""); + } + + case 0xCB: // float 64 + { + double number{}; + return get_number(input_format_t::msgpack, number) && sax->number_float(static_cast(number), ""); + } + + case 0xCC: // uint 8 + { + std::uint8_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_unsigned(number); + } + + case 0xCD: // uint 16 + { + std::uint16_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_unsigned(number); + } + + case 0xCE: // uint 32 + { + std::uint32_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_unsigned(number); + } + + case 0xCF: // uint 64 + { + std::uint64_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_unsigned(number); + } + + case 0xD0: // int 8 + { + std::int8_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_integer(number); + } + + case 0xD1: // int 16 + { + std::int16_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_integer(number); + } + + case 0xD2: // int 32 + { + std::int32_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_integer(number); + } + + case 0xD3: // int 64 + { + std::int64_t number{}; + return get_number(input_format_t::msgpack, number) && sax->number_integer(number); + } + + case 0xDC: // array 16 + { + std::uint16_t len{}; + return get_number(input_format_t::msgpack, len) && get_msgpack_array(static_cast(len)); + } + + case 0xDD: // array 32 + { + std::uint32_t len{}; + return get_number(input_format_t::msgpack, len) && get_msgpack_array(static_cast(len)); + } + + case 0xDE: // map 16 + { + std::uint16_t len{}; + return get_number(input_format_t::msgpack, len) && get_msgpack_object(static_cast(len)); + } + + case 0xDF: // map 32 + { + std::uint32_t len{}; + return get_number(input_format_t::msgpack, len) && get_msgpack_object(static_cast(len)); + } + + // negative fixint + case 0xE0: + case 0xE1: + case 0xE2: + case 0xE3: + case 0xE4: + case 0xE5: + case 0xE6: + case 0xE7: + case 0xE8: + case 0xE9: + case 0xEA: + case 0xEB: + case 0xEC: + case 0xED: + case 0xEE: + case 0xEF: + case 0xF0: + case 0xF1: + case 0xF2: + case 0xF3: + case 0xF4: + case 0xF5: + case 0xF6: + case 0xF7: + case 0xF8: + case 0xF9: + case 0xFA: + case 0xFB: + case 0xFC: + case 0xFD: + case 0xFE: + case 0xFF: + return sax->number_integer(static_cast(current)); + + default: // anything else + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::msgpack, "invalid byte: 0x" + last_token, "value"), BasicJsonType())); + } + } + } + + /*! + @brief reads a MessagePack string + + This function first reads starting bytes to determine the expected + string length and then copies this number of bytes into a string. + + @param[out] result created string + + @return whether string creation completed + */ + bool get_msgpack_string(string_t& result) + { + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::msgpack, "string"))) + { + return false; + } + + switch (current) + { + // fixstr + case 0xA0: + case 0xA1: + case 0xA2: + case 0xA3: + case 0xA4: + case 0xA5: + case 0xA6: + case 0xA7: + case 0xA8: + case 0xA9: + case 0xAA: + case 0xAB: + case 0xAC: + case 0xAD: + case 0xAE: + case 0xAF: + case 0xB0: + case 0xB1: + case 0xB2: + case 0xB3: + case 0xB4: + case 0xB5: + case 0xB6: + case 0xB7: + case 0xB8: + case 0xB9: + case 0xBA: + case 0xBB: + case 0xBC: + case 0xBD: + case 0xBE: + case 0xBF: + { + return get_string(input_format_t::msgpack, static_cast(current) & 0x1Fu, result); + } + + case 0xD9: // str 8 + { + std::uint8_t len{}; + return get_number(input_format_t::msgpack, len) && get_string(input_format_t::msgpack, len, result); + } + + case 0xDA: // str 16 + { + std::uint16_t len{}; + return get_number(input_format_t::msgpack, len) && get_string(input_format_t::msgpack, len, result); + } + + case 0xDB: // str 32 + { + std::uint32_t len{}; + return get_number(input_format_t::msgpack, len) && get_string(input_format_t::msgpack, len, result); + } + + default: + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::msgpack, "expected length specification (0xA0-0xBF, 0xD9-0xDB); last byte: 0x" + last_token, "string"), BasicJsonType())); + } + } + } + + /*! + @brief reads a MessagePack byte array + + This function first reads starting bytes to determine the expected + byte array length and then copies this number of bytes into a byte array. + + @param[out] result created byte array + + @return whether byte array creation completed + */ + bool get_msgpack_binary(binary_t& result) + { + // helper function to set the subtype + auto assign_and_return_true = [&result](std::int8_t subtype) + { + result.set_subtype(static_cast(subtype)); + return true; + }; + + switch (current) + { + case 0xC4: // bin 8 + { + std::uint8_t len{}; + return get_number(input_format_t::msgpack, len) && + get_binary(input_format_t::msgpack, len, result); + } + + case 0xC5: // bin 16 + { + std::uint16_t len{}; + return get_number(input_format_t::msgpack, len) && + get_binary(input_format_t::msgpack, len, result); + } + + case 0xC6: // bin 32 + { + std::uint32_t len{}; + return get_number(input_format_t::msgpack, len) && + get_binary(input_format_t::msgpack, len, result); + } + + case 0xC7: // ext 8 + { + std::uint8_t len{}; + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, len) && + get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, len, result) && + assign_and_return_true(subtype); + } + + case 0xC8: // ext 16 + { + std::uint16_t len{}; + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, len) && + get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, len, result) && + assign_and_return_true(subtype); + } + + case 0xC9: // ext 32 + { + std::uint32_t len{}; + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, len) && + get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, len, result) && + assign_and_return_true(subtype); + } + + case 0xD4: // fixext 1 + { + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, 1, result) && + assign_and_return_true(subtype); + } + + case 0xD5: // fixext 2 + { + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, 2, result) && + assign_and_return_true(subtype); + } + + case 0xD6: // fixext 4 + { + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, 4, result) && + assign_and_return_true(subtype); + } + + case 0xD7: // fixext 8 + { + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, 8, result) && + assign_and_return_true(subtype); + } + + case 0xD8: // fixext 16 + { + std::int8_t subtype{}; + return get_number(input_format_t::msgpack, subtype) && + get_binary(input_format_t::msgpack, 16, result) && + assign_and_return_true(subtype); + } + + default: // LCOV_EXCL_LINE + return false; // LCOV_EXCL_LINE + } + } + + /*! + @param[in] len the length of the array + @return whether array creation completed + */ + bool get_msgpack_array(const std::size_t len) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(len))) + { + return false; + } + + for (std::size_t i = 0; i < len; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!parse_msgpack_internal())) + { + return false; + } + } + + return sax->end_array(); + } + + /*! + @param[in] len the length of the object + @return whether object creation completed + */ + bool get_msgpack_object(const std::size_t len) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(len))) + { + return false; + } + + string_t key; + for (std::size_t i = 0; i < len; ++i) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!get_msgpack_string(key) || !sax->key(key))) + { + return false; + } + + if (JSON_HEDLEY_UNLIKELY(!parse_msgpack_internal())) + { + return false; + } + key.clear(); + } + + return sax->end_object(); + } + + //////////// + // UBJSON // + //////////// + + /*! + @param[in] get_char whether a new character should be retrieved from the + input (true, default) or whether the last read + character should be considered instead + + @return whether a valid UBJSON value was passed to the SAX parser + */ + bool parse_ubjson_internal(const bool get_char = true) + { + return get_ubjson_value(get_char ? get_ignore_noop() : current); + } + + /*! + @brief reads a UBJSON string + + This function is either called after reading the 'S' byte explicitly + indicating a string, or in case of an object key where the 'S' byte can be + left out. + + @param[out] result created string + @param[in] get_char whether a new character should be retrieved from the + input (true, default) or whether the last read + character should be considered instead + + @return whether string creation completed + */ + bool get_ubjson_string(string_t& result, const bool get_char = true) + { + if (get_char) + { + get(); // TODO(niels): may we ignore N here? + } + + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::ubjson, "value"))) + { + return false; + } + + switch (current) + { + case 'U': + { + std::uint8_t len{}; + return get_number(input_format_t::ubjson, len) && get_string(input_format_t::ubjson, len, result); + } + + case 'i': + { + std::int8_t len{}; + return get_number(input_format_t::ubjson, len) && get_string(input_format_t::ubjson, len, result); + } + + case 'I': + { + std::int16_t len{}; + return get_number(input_format_t::ubjson, len) && get_string(input_format_t::ubjson, len, result); + } + + case 'l': + { + std::int32_t len{}; + return get_number(input_format_t::ubjson, len) && get_string(input_format_t::ubjson, len, result); + } + + case 'L': + { + std::int64_t len{}; + return get_number(input_format_t::ubjson, len) && get_string(input_format_t::ubjson, len, result); + } + + default: + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::ubjson, "expected length type specification (U, i, I, l, L); last byte: 0x" + last_token, "string"), BasicJsonType())); + } + } + + /*! + @param[out] result determined size + @return whether size determination completed + */ + bool get_ubjson_size_value(std::size_t& result) + { + switch (get_ignore_noop()) + { + case 'U': + { + std::uint8_t number{}; + if (JSON_HEDLEY_UNLIKELY(!get_number(input_format_t::ubjson, number))) + { + return false; + } + result = static_cast(number); + return true; + } + + case 'i': + { + std::int8_t number{}; + if (JSON_HEDLEY_UNLIKELY(!get_number(input_format_t::ubjson, number))) + { + return false; + } + result = static_cast(number); // NOLINT(bugprone-signed-char-misuse,cert-str34-c): number is not a char + return true; + } + + case 'I': + { + std::int16_t number{}; + if (JSON_HEDLEY_UNLIKELY(!get_number(input_format_t::ubjson, number))) + { + return false; + } + result = static_cast(number); + return true; + } + + case 'l': + { + std::int32_t number{}; + if (JSON_HEDLEY_UNLIKELY(!get_number(input_format_t::ubjson, number))) + { + return false; + } + result = static_cast(number); + return true; + } + + case 'L': + { + std::int64_t number{}; + if (JSON_HEDLEY_UNLIKELY(!get_number(input_format_t::ubjson, number))) + { + return false; + } + result = static_cast(number); + return true; + } + + default: + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::ubjson, "expected length type specification (U, i, I, l, L) after '#'; last byte: 0x" + last_token, "size"), BasicJsonType())); + } + } + } + + /*! + @brief determine the type and size for a container + + In the optimized UBJSON format, a type and a size can be provided to allow + for a more compact representation. + + @param[out] result pair of the size and the type + + @return whether pair creation completed + */ + bool get_ubjson_size_type(std::pair& result) + { + result.first = string_t::npos; // size + result.second = 0; // type + + get_ignore_noop(); + + if (current == '$') + { + result.second = get(); // must not ignore 'N', because 'N' maybe the type + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::ubjson, "type"))) + { + return false; + } + + get_ignore_noop(); + if (JSON_HEDLEY_UNLIKELY(current != '#')) + { + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::ubjson, "value"))) + { + return false; + } + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::ubjson, "expected '#' after type information; last byte: 0x" + last_token, "size"), BasicJsonType())); + } + + return get_ubjson_size_value(result.first); + } + + if (current == '#') + { + return get_ubjson_size_value(result.first); + } + + return true; + } + + /*! + @param prefix the previously read or set type prefix + @return whether value creation completed + */ + bool get_ubjson_value(const char_int_type prefix) + { + switch (prefix) + { + case std::char_traits::eof(): // EOF + return unexpect_eof(input_format_t::ubjson, "value"); + + case 'T': // true + return sax->boolean(true); + case 'F': // false + return sax->boolean(false); + + case 'Z': // null + return sax->null(); + + case 'U': + { + std::uint8_t number{}; + return get_number(input_format_t::ubjson, number) && sax->number_unsigned(number); + } + + case 'i': + { + std::int8_t number{}; + return get_number(input_format_t::ubjson, number) && sax->number_integer(number); + } + + case 'I': + { + std::int16_t number{}; + return get_number(input_format_t::ubjson, number) && sax->number_integer(number); + } + + case 'l': + { + std::int32_t number{}; + return get_number(input_format_t::ubjson, number) && sax->number_integer(number); + } + + case 'L': + { + std::int64_t number{}; + return get_number(input_format_t::ubjson, number) && sax->number_integer(number); + } + + case 'd': + { + float number{}; + return get_number(input_format_t::ubjson, number) && sax->number_float(static_cast(number), ""); + } + + case 'D': + { + double number{}; + return get_number(input_format_t::ubjson, number) && sax->number_float(static_cast(number), ""); + } + + case 'H': + { + return get_ubjson_high_precision_number(); + } + + case 'C': // char + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::ubjson, "char"))) + { + return false; + } + if (JSON_HEDLEY_UNLIKELY(current > 127)) + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(113, chars_read, exception_message(input_format_t::ubjson, "byte after 'C' must be in range 0x00..0x7F; last byte: 0x" + last_token, "char"), BasicJsonType())); + } + string_t s(1, static_cast(current)); + return sax->string(s); + } + + case 'S': // string + { + string_t s; + return get_ubjson_string(s) && sax->string(s); + } + + case '[': // array + return get_ubjson_array(); + + case '{': // object + return get_ubjson_object(); + + default: // anything else + { + auto last_token = get_token_string(); + return sax->parse_error(chars_read, last_token, parse_error::create(112, chars_read, exception_message(input_format_t::ubjson, "invalid byte: 0x" + last_token, "value"), BasicJsonType())); + } + } + } + + /*! + @return whether array creation completed + */ + bool get_ubjson_array() + { + std::pair size_and_type; + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_size_type(size_and_type))) + { + return false; + } + + if (size_and_type.first != string_t::npos) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(size_and_type.first))) + { + return false; + } + + if (size_and_type.second != 0) + { + if (size_and_type.second != 'N') + { + for (std::size_t i = 0; i < size_and_type.first; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_value(size_and_type.second))) + { + return false; + } + } + } + } + else + { + for (std::size_t i = 0; i < size_and_type.first; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!parse_ubjson_internal())) + { + return false; + } + } + } + } + else + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(static_cast(-1)))) + { + return false; + } + + while (current != ']') + { + if (JSON_HEDLEY_UNLIKELY(!parse_ubjson_internal(false))) + { + return false; + } + get_ignore_noop(); + } + } + + return sax->end_array(); + } + + /*! + @return whether object creation completed + */ + bool get_ubjson_object() + { + std::pair size_and_type; + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_size_type(size_and_type))) + { + return false; + } + + string_t key; + if (size_and_type.first != string_t::npos) + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(size_and_type.first))) + { + return false; + } + + if (size_and_type.second != 0) + { + for (std::size_t i = 0; i < size_and_type.first; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_string(key) || !sax->key(key))) + { + return false; + } + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_value(size_and_type.second))) + { + return false; + } + key.clear(); + } + } + else + { + for (std::size_t i = 0; i < size_and_type.first; ++i) + { + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_string(key) || !sax->key(key))) + { + return false; + } + if (JSON_HEDLEY_UNLIKELY(!parse_ubjson_internal())) + { + return false; + } + key.clear(); + } + } + } + else + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(static_cast(-1)))) + { + return false; + } + + while (current != '}') + { + if (JSON_HEDLEY_UNLIKELY(!get_ubjson_string(key, false) || !sax->key(key))) + { + return false; + } + if (JSON_HEDLEY_UNLIKELY(!parse_ubjson_internal())) + { + return false; + } + get_ignore_noop(); + key.clear(); + } + } + + return sax->end_object(); + } + + // Note, no reader for UBJSON binary types is implemented because they do + // not exist + + bool get_ubjson_high_precision_number() + { + // get size of following number string + std::size_t size{}; + auto res = get_ubjson_size_value(size); + if (JSON_HEDLEY_UNLIKELY(!res)) + { + return res; + } + + // get number string + std::vector number_vector; + for (std::size_t i = 0; i < size; ++i) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(input_format_t::ubjson, "number"))) + { + return false; + } + number_vector.push_back(static_cast(current)); + } + + // parse number string + using ia_type = decltype(detail::input_adapter(number_vector)); + auto number_lexer = detail::lexer(detail::input_adapter(number_vector), false); + const auto result_number = number_lexer.scan(); + const auto number_string = number_lexer.get_token_string(); + const auto result_remainder = number_lexer.scan(); + + using token_type = typename detail::lexer_base::token_type; + + if (JSON_HEDLEY_UNLIKELY(result_remainder != token_type::end_of_input)) + { + return sax->parse_error(chars_read, number_string, parse_error::create(115, chars_read, exception_message(input_format_t::ubjson, "invalid number text: " + number_lexer.get_token_string(), "high-precision number"), BasicJsonType())); + } + + switch (result_number) + { + case token_type::value_integer: + return sax->number_integer(number_lexer.get_number_integer()); + case token_type::value_unsigned: + return sax->number_unsigned(number_lexer.get_number_unsigned()); + case token_type::value_float: + return sax->number_float(number_lexer.get_number_float(), std::move(number_string)); + case token_type::uninitialized: + case token_type::literal_true: + case token_type::literal_false: + case token_type::literal_null: + case token_type::value_string: + case token_type::begin_array: + case token_type::begin_object: + case token_type::end_array: + case token_type::end_object: + case token_type::name_separator: + case token_type::value_separator: + case token_type::parse_error: + case token_type::end_of_input: + case token_type::literal_or_value: + default: + return sax->parse_error(chars_read, number_string, parse_error::create(115, chars_read, exception_message(input_format_t::ubjson, "invalid number text: " + number_lexer.get_token_string(), "high-precision number"), BasicJsonType())); + } + } + + /////////////////////// + // Utility functions // + /////////////////////// + + /*! + @brief get next character from the input + + This function provides the interface to the used input adapter. It does + not throw in case the input reached EOF, but returns a -'ve valued + `std::char_traits::eof()` in that case. + + @return character read from the input + */ + char_int_type get() + { + ++chars_read; + return current = ia.get_character(); + } + + /*! + @return character read from the input after ignoring all 'N' entries + */ + char_int_type get_ignore_noop() + { + do + { + get(); + } + while (current == 'N'); + + return current; + } + + /* + @brief read a number from the input + + @tparam NumberType the type of the number + @param[in] format the current format (for diagnostics) + @param[out] result number of type @a NumberType + + @return whether conversion completed + + @note This function needs to respect the system's endianness, because + bytes in CBOR, MessagePack, and UBJSON are stored in network order + (big endian) and therefore need reordering on little endian systems. + */ + template + bool get_number(const input_format_t format, NumberType& result) + { + // step 1: read input into array with system's byte order + std::array vec{}; + for (std::size_t i = 0; i < sizeof(NumberType); ++i) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(format, "number"))) + { + return false; + } + + // reverse byte order prior to conversion if necessary + if (is_little_endian != InputIsLittleEndian) + { + vec[sizeof(NumberType) - i - 1] = static_cast(current); + } + else + { + vec[i] = static_cast(current); // LCOV_EXCL_LINE + } + } + + // step 2: convert array into number of type T and return + std::memcpy(&result, vec.data(), sizeof(NumberType)); + return true; + } + + /*! + @brief create a string by reading characters from the input + + @tparam NumberType the type of the number + @param[in] format the current format (for diagnostics) + @param[in] len number of characters to read + @param[out] result string created by reading @a len bytes + + @return whether string creation completed + + @note We can not reserve @a len bytes for the result, because @a len + may be too large. Usually, @ref unexpect_eof() detects the end of + the input before we run out of string memory. + */ + template + bool get_string(const input_format_t format, + const NumberType len, + string_t& result) + { + bool success = true; + for (NumberType i = 0; i < len; i++) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(format, "string"))) + { + success = false; + break; + } + result.push_back(static_cast(current)); + } + return success; + } + + /*! + @brief create a byte array by reading bytes from the input + + @tparam NumberType the type of the number + @param[in] format the current format (for diagnostics) + @param[in] len number of bytes to read + @param[out] result byte array created by reading @a len bytes + + @return whether byte array creation completed + + @note We can not reserve @a len bytes for the result, because @a len + may be too large. Usually, @ref unexpect_eof() detects the end of + the input before we run out of memory. + */ + template + bool get_binary(const input_format_t format, + const NumberType len, + binary_t& result) + { + bool success = true; + for (NumberType i = 0; i < len; i++) + { + get(); + if (JSON_HEDLEY_UNLIKELY(!unexpect_eof(format, "binary"))) + { + success = false; + break; + } + result.push_back(static_cast(current)); + } + return success; + } + + /*! + @param[in] format the current format (for diagnostics) + @param[in] context further context information (for diagnostics) + @return whether the last read character is not EOF + */ + JSON_HEDLEY_NON_NULL(3) + bool unexpect_eof(const input_format_t format, const char* context) const + { + if (JSON_HEDLEY_UNLIKELY(current == std::char_traits::eof())) + { + return sax->parse_error(chars_read, "", + parse_error::create(110, chars_read, exception_message(format, "unexpected end of input", context), BasicJsonType())); + } + return true; + } + + /*! + @return a string representation of the last read byte + */ + std::string get_token_string() const + { + std::array cr{{}}; + static_cast((std::snprintf)(cr.data(), cr.size(), "%.2hhX", static_cast(current))); // NOLINT(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + return std::string{cr.data()}; + } + + /*! + @param[in] format the current format + @param[in] detail a detailed error message + @param[in] context further context information + @return a message string to use in the parse_error exceptions + */ + std::string exception_message(const input_format_t format, + const std::string& detail, + const std::string& context) const + { + std::string error_msg = "syntax error while parsing "; + + switch (format) + { + case input_format_t::cbor: + error_msg += "CBOR"; + break; + + case input_format_t::msgpack: + error_msg += "MessagePack"; + break; + + case input_format_t::ubjson: + error_msg += "UBJSON"; + break; + + case input_format_t::bson: + error_msg += "BSON"; + break; + + case input_format_t::json: // LCOV_EXCL_LINE + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + + return error_msg + " " + context + ": " + detail; + } + + private: + /// input adapter + InputAdapterType ia; + + /// the current character + char_int_type current = std::char_traits::eof(); + + /// the number of characters read + std::size_t chars_read = 0; + + /// whether we can assume little endianness + const bool is_little_endian = little_endianness(); + + /// the SAX parser + json_sax_t* sax = nullptr; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + +// #include + + +#include // isfinite +#include // uint8_t +#include // function +#include // string +#include // move +#include // vector + +// #include + +// #include + +// #include + +// #include + +// #include + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +//////////// +// parser // +//////////// + +enum class parse_event_t : std::uint8_t +{ + /// the parser read `{` and started to process a JSON object + object_start, + /// the parser read `}` and finished processing a JSON object + object_end, + /// the parser read `[` and started to process a JSON array + array_start, + /// the parser read `]` and finished processing a JSON array + array_end, + /// the parser read a key of a value in an object + key, + /// the parser finished reading a JSON value + value +}; + +template +using parser_callback_t = + std::function; + +/*! +@brief syntax analysis + +This class implements a recursive descent parser. +*/ +template +class parser +{ + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using number_float_t = typename BasicJsonType::number_float_t; + using string_t = typename BasicJsonType::string_t; + using lexer_t = lexer; + using token_type = typename lexer_t::token_type; + + public: + /// a parser reading from an input adapter + explicit parser(InputAdapterType&& adapter, + const parser_callback_t cb = nullptr, + const bool allow_exceptions_ = true, + const bool skip_comments = false) + : callback(cb) + , m_lexer(std::move(adapter), skip_comments) + , allow_exceptions(allow_exceptions_) + { + // read first token + get_token(); + } + + /*! + @brief public parser interface + + @param[in] strict whether to expect the last token to be EOF + @param[in,out] result parsed JSON value + + @throw parse_error.101 in case of an unexpected token + @throw parse_error.102 if to_unicode fails or surrogate error + @throw parse_error.103 if to_unicode fails + */ + void parse(const bool strict, BasicJsonType& result) + { + if (callback) + { + json_sax_dom_callback_parser sdp(result, callback, allow_exceptions); + sax_parse_internal(&sdp); + + // in strict mode, input must be completely read + if (strict && (get_token() != token_type::end_of_input)) + { + sdp.parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), + exception_message(token_type::end_of_input, "value"), BasicJsonType())); + } + + // in case of an error, return discarded value + if (sdp.is_errored()) + { + result = value_t::discarded; + return; + } + + // set top-level value to null if it was discarded by the callback + // function + if (result.is_discarded()) + { + result = nullptr; + } + } + else + { + json_sax_dom_parser sdp(result, allow_exceptions); + sax_parse_internal(&sdp); + + // in strict mode, input must be completely read + if (strict && (get_token() != token_type::end_of_input)) + { + sdp.parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::end_of_input, "value"), BasicJsonType())); + } + + // in case of an error, return discarded value + if (sdp.is_errored()) + { + result = value_t::discarded; + return; + } + } + + result.assert_invariant(); + } + + /*! + @brief public accept interface + + @param[in] strict whether to expect the last token to be EOF + @return whether the input is a proper JSON text + */ + bool accept(const bool strict = true) + { + json_sax_acceptor sax_acceptor; + return sax_parse(&sax_acceptor, strict); + } + + template + JSON_HEDLEY_NON_NULL(2) + bool sax_parse(SAX* sax, const bool strict = true) + { + (void)detail::is_sax_static_asserts {}; + const bool result = sax_parse_internal(sax); + + // strict mode: next byte must be EOF + if (result && strict && (get_token() != token_type::end_of_input)) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::end_of_input, "value"), BasicJsonType())); + } + + return result; + } + + private: + template + JSON_HEDLEY_NON_NULL(2) + bool sax_parse_internal(SAX* sax) + { + // stack to remember the hierarchy of structured values we are parsing + // true = array; false = object + std::vector states; + // value to avoid a goto (see comment where set to true) + bool skip_to_state_evaluation = false; + + while (true) + { + if (!skip_to_state_evaluation) + { + // invariant: get_token() was called before each iteration + switch (last_token) + { + case token_type::begin_object: + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_object(static_cast(-1)))) + { + return false; + } + + // closing } -> we are done + if (get_token() == token_type::end_object) + { + if (JSON_HEDLEY_UNLIKELY(!sax->end_object())) + { + return false; + } + break; + } + + // parse key + if (JSON_HEDLEY_UNLIKELY(last_token != token_type::value_string)) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::value_string, "object key"), BasicJsonType())); + } + if (JSON_HEDLEY_UNLIKELY(!sax->key(m_lexer.get_string()))) + { + return false; + } + + // parse separator (:) + if (JSON_HEDLEY_UNLIKELY(get_token() != token_type::name_separator)) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::name_separator, "object separator"), BasicJsonType())); + } + + // remember we are now inside an object + states.push_back(false); + + // parse values + get_token(); + continue; + } + + case token_type::begin_array: + { + if (JSON_HEDLEY_UNLIKELY(!sax->start_array(static_cast(-1)))) + { + return false; + } + + // closing ] -> we are done + if (get_token() == token_type::end_array) + { + if (JSON_HEDLEY_UNLIKELY(!sax->end_array())) + { + return false; + } + break; + } + + // remember we are now inside an array + states.push_back(true); + + // parse values (no need to call get_token) + continue; + } + + case token_type::value_float: + { + const auto res = m_lexer.get_number_float(); + + if (JSON_HEDLEY_UNLIKELY(!std::isfinite(res))) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + out_of_range::create(406, "number overflow parsing '" + m_lexer.get_token_string() + "'", BasicJsonType())); + } + + if (JSON_HEDLEY_UNLIKELY(!sax->number_float(res, m_lexer.get_string()))) + { + return false; + } + + break; + } + + case token_type::literal_false: + { + if (JSON_HEDLEY_UNLIKELY(!sax->boolean(false))) + { + return false; + } + break; + } + + case token_type::literal_null: + { + if (JSON_HEDLEY_UNLIKELY(!sax->null())) + { + return false; + } + break; + } + + case token_type::literal_true: + { + if (JSON_HEDLEY_UNLIKELY(!sax->boolean(true))) + { + return false; + } + break; + } + + case token_type::value_integer: + { + if (JSON_HEDLEY_UNLIKELY(!sax->number_integer(m_lexer.get_number_integer()))) + { + return false; + } + break; + } + + case token_type::value_string: + { + if (JSON_HEDLEY_UNLIKELY(!sax->string(m_lexer.get_string()))) + { + return false; + } + break; + } + + case token_type::value_unsigned: + { + if (JSON_HEDLEY_UNLIKELY(!sax->number_unsigned(m_lexer.get_number_unsigned()))) + { + return false; + } + break; + } + + case token_type::parse_error: + { + // using "uninitialized" to avoid "expected" message + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::uninitialized, "value"), BasicJsonType())); + } + + case token_type::uninitialized: + case token_type::end_array: + case token_type::end_object: + case token_type::name_separator: + case token_type::value_separator: + case token_type::end_of_input: + case token_type::literal_or_value: + default: // the last token was unexpected + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::literal_or_value, "value"), BasicJsonType())); + } + } + } + else + { + skip_to_state_evaluation = false; + } + + // we reached this line after we successfully parsed a value + if (states.empty()) + { + // empty stack: we reached the end of the hierarchy: done + return true; + } + + if (states.back()) // array + { + // comma -> next value + if (get_token() == token_type::value_separator) + { + // parse a new value + get_token(); + continue; + } + + // closing ] + if (JSON_HEDLEY_LIKELY(last_token == token_type::end_array)) + { + if (JSON_HEDLEY_UNLIKELY(!sax->end_array())) + { + return false; + } + + // We are done with this array. Before we can parse a + // new value, we need to evaluate the new state first. + // By setting skip_to_state_evaluation to false, we + // are effectively jumping to the beginning of this if. + JSON_ASSERT(!states.empty()); + states.pop_back(); + skip_to_state_evaluation = true; + continue; + } + + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::end_array, "array"), BasicJsonType())); + } + + // states.back() is false -> object + + // comma -> next value + if (get_token() == token_type::value_separator) + { + // parse key + if (JSON_HEDLEY_UNLIKELY(get_token() != token_type::value_string)) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::value_string, "object key"), BasicJsonType())); + } + + if (JSON_HEDLEY_UNLIKELY(!sax->key(m_lexer.get_string()))) + { + return false; + } + + // parse separator (:) + if (JSON_HEDLEY_UNLIKELY(get_token() != token_type::name_separator)) + { + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::name_separator, "object separator"), BasicJsonType())); + } + + // parse values + get_token(); + continue; + } + + // closing } + if (JSON_HEDLEY_LIKELY(last_token == token_type::end_object)) + { + if (JSON_HEDLEY_UNLIKELY(!sax->end_object())) + { + return false; + } + + // We are done with this object. Before we can parse a + // new value, we need to evaluate the new state first. + // By setting skip_to_state_evaluation to false, we + // are effectively jumping to the beginning of this if. + JSON_ASSERT(!states.empty()); + states.pop_back(); + skip_to_state_evaluation = true; + continue; + } + + return sax->parse_error(m_lexer.get_position(), + m_lexer.get_token_string(), + parse_error::create(101, m_lexer.get_position(), exception_message(token_type::end_object, "object"), BasicJsonType())); + } + } + + /// get next token from lexer + token_type get_token() + { + return last_token = m_lexer.scan(); + } + + std::string exception_message(const token_type expected, const std::string& context) + { + std::string error_msg = "syntax error "; + + if (!context.empty()) + { + error_msg += "while parsing " + context + " "; + } + + error_msg += "- "; + + if (last_token == token_type::parse_error) + { + error_msg += std::string(m_lexer.get_error_message()) + "; last read: '" + + m_lexer.get_token_string() + "'"; + } + else + { + error_msg += "unexpected " + std::string(lexer_t::token_type_name(last_token)); + } + + if (expected != token_type::uninitialized) + { + error_msg += "; expected " + std::string(lexer_t::token_type_name(expected)); + } + + return error_msg; + } + + private: + /// callback function + const parser_callback_t callback = nullptr; + /// the type of the last read token + token_type last_token = token_type::uninitialized; + /// the lexer + lexer_t m_lexer; + /// whether to throw exceptions in case of errors + const bool allow_exceptions = true; +}; + +} // namespace detail +} // namespace nlohmann + +// #include + + +// #include + + +#include // ptrdiff_t +#include // numeric_limits + +// #include + + +namespace nlohmann +{ +namespace detail +{ +/* +@brief an iterator for primitive JSON types + +This class models an iterator for primitive JSON types (boolean, number, +string). It's only purpose is to allow the iterator/const_iterator classes +to "iterate" over primitive values. Internally, the iterator is modeled by +a `difference_type` variable. Value begin_value (`0`) models the begin, +end_value (`1`) models past the end. +*/ +class primitive_iterator_t +{ + private: + using difference_type = std::ptrdiff_t; + static constexpr difference_type begin_value = 0; + static constexpr difference_type end_value = begin_value + 1; + + JSON_PRIVATE_UNLESS_TESTED: + /// iterator as signed integer type + difference_type m_it = (std::numeric_limits::min)(); + + public: + constexpr difference_type get_value() const noexcept + { + return m_it; + } + + /// set iterator to a defined beginning + void set_begin() noexcept + { + m_it = begin_value; + } + + /// set iterator to a defined past the end + void set_end() noexcept + { + m_it = end_value; + } + + /// return whether the iterator can be dereferenced + constexpr bool is_begin() const noexcept + { + return m_it == begin_value; + } + + /// return whether the iterator is at end + constexpr bool is_end() const noexcept + { + return m_it == end_value; + } + + friend constexpr bool operator==(primitive_iterator_t lhs, primitive_iterator_t rhs) noexcept + { + return lhs.m_it == rhs.m_it; + } + + friend constexpr bool operator<(primitive_iterator_t lhs, primitive_iterator_t rhs) noexcept + { + return lhs.m_it < rhs.m_it; + } + + primitive_iterator_t operator+(difference_type n) noexcept + { + auto result = *this; + result += n; + return result; + } + + friend constexpr difference_type operator-(primitive_iterator_t lhs, primitive_iterator_t rhs) noexcept + { + return lhs.m_it - rhs.m_it; + } + + primitive_iterator_t& operator++() noexcept + { + ++m_it; + return *this; + } + + primitive_iterator_t operator++(int)& noexcept // NOLINT(cert-dcl21-cpp) + { + auto result = *this; + ++m_it; + return result; + } + + primitive_iterator_t& operator--() noexcept + { + --m_it; + return *this; + } + + primitive_iterator_t operator--(int)& noexcept // NOLINT(cert-dcl21-cpp) + { + auto result = *this; + --m_it; + return result; + } + + primitive_iterator_t& operator+=(difference_type n) noexcept + { + m_it += n; + return *this; + } + + primitive_iterator_t& operator-=(difference_type n) noexcept + { + m_it -= n; + return *this; + } +}; +} // namespace detail +} // namespace nlohmann + + +namespace nlohmann +{ +namespace detail +{ +/*! +@brief an iterator value + +@note This structure could easily be a union, but MSVC currently does not allow +unions members with complex constructors, see https://github.com/nlohmann/json/pull/105. +*/ +template struct internal_iterator +{ + /// iterator for JSON objects + typename BasicJsonType::object_t::iterator object_iterator {}; + /// iterator for JSON arrays + typename BasicJsonType::array_t::iterator array_iterator {}; + /// generic iterator for all other types + primitive_iterator_t primitive_iterator {}; +}; +} // namespace detail +} // namespace nlohmann + +// #include + + +#include // iterator, random_access_iterator_tag, bidirectional_iterator_tag, advance, next +#include // conditional, is_const, remove_const + +// #include + +// #include + +// #include + +// #include + +// #include + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +// forward declare, to be able to friend it later on +template class iteration_proxy; +template class iteration_proxy_value; + +/*! +@brief a template for a bidirectional iterator for the @ref basic_json class +This class implements a both iterators (iterator and const_iterator) for the +@ref basic_json class. +@note An iterator is called *initialized* when a pointer to a JSON value has + been set (e.g., by a constructor or a copy assignment). If the iterator is + default-constructed, it is *uninitialized* and most methods are undefined. + **The library uses assertions to detect calls on uninitialized iterators.** +@requirement The class satisfies the following concept requirements: +- +[BidirectionalIterator](https://en.cppreference.com/w/cpp/named_req/BidirectionalIterator): + The iterator that can be moved can be moved in both directions (i.e. + incremented and decremented). +@since version 1.0.0, simplified in version 2.0.9, change to bidirectional + iterators in version 3.0.0 (see https://github.com/nlohmann/json/issues/593) +*/ +template +class iter_impl // NOLINT(cppcoreguidelines-special-member-functions,hicpp-special-member-functions) +{ + /// the iterator with BasicJsonType of different const-ness + using other_iter_impl = iter_impl::value, typename std::remove_const::type, const BasicJsonType>::type>; + /// allow basic_json to access private members + friend other_iter_impl; + friend BasicJsonType; + friend iteration_proxy; + friend iteration_proxy_value; + + using object_t = typename BasicJsonType::object_t; + using array_t = typename BasicJsonType::array_t; + // make sure BasicJsonType is basic_json or const basic_json + static_assert(is_basic_json::type>::value, + "iter_impl only accepts (const) basic_json"); + + public: + + /// The std::iterator class template (used as a base class to provide typedefs) is deprecated in C++17. + /// The C++ Standard has never required user-defined iterators to derive from std::iterator. + /// A user-defined iterator should provide publicly accessible typedefs named + /// iterator_category, value_type, difference_type, pointer, and reference. + /// Note that value_type is required to be non-const, even for constant iterators. + using iterator_category = std::bidirectional_iterator_tag; + + /// the type of the values when the iterator is dereferenced + using value_type = typename BasicJsonType::value_type; + /// a type to represent differences between iterators + using difference_type = typename BasicJsonType::difference_type; + /// defines a pointer to the type iterated over (value_type) + using pointer = typename std::conditional::value, + typename BasicJsonType::const_pointer, + typename BasicJsonType::pointer>::type; + /// defines a reference to the type iterated over (value_type) + using reference = + typename std::conditional::value, + typename BasicJsonType::const_reference, + typename BasicJsonType::reference>::type; + + iter_impl() = default; + ~iter_impl() = default; + iter_impl(iter_impl&&) noexcept = default; + iter_impl& operator=(iter_impl&&) noexcept = default; + + /*! + @brief constructor for a given JSON instance + @param[in] object pointer to a JSON object for this iterator + @pre object != nullptr + @post The iterator is initialized; i.e. `m_object != nullptr`. + */ + explicit iter_impl(pointer object) noexcept : m_object(object) + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + m_it.object_iterator = typename object_t::iterator(); + break; + } + + case value_t::array: + { + m_it.array_iterator = typename array_t::iterator(); + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + m_it.primitive_iterator = primitive_iterator_t(); + break; + } + } + } + + /*! + @note The conventional copy constructor and copy assignment are implicitly + defined. Combined with the following converting constructor and + assignment, they support: (1) copy from iterator to iterator, (2) + copy from const iterator to const iterator, and (3) conversion from + iterator to const iterator. However conversion from const iterator + to iterator is not defined. + */ + + /*! + @brief const copy constructor + @param[in] other const iterator to copy from + @note This copy constructor had to be defined explicitly to circumvent a bug + occurring on msvc v19.0 compiler (VS 2015) debug build. For more + information refer to: https://github.com/nlohmann/json/issues/1608 + */ + iter_impl(const iter_impl& other) noexcept + : m_object(other.m_object), m_it(other.m_it) + {} + + /*! + @brief converting assignment + @param[in] other const iterator to copy from + @return const/non-const iterator + @note It is not checked whether @a other is initialized. + */ + iter_impl& operator=(const iter_impl& other) noexcept + { + if (&other != this) + { + m_object = other.m_object; + m_it = other.m_it; + } + return *this; + } + + /*! + @brief converting constructor + @param[in] other non-const iterator to copy from + @note It is not checked whether @a other is initialized. + */ + iter_impl(const iter_impl::type>& other) noexcept + : m_object(other.m_object), m_it(other.m_it) + {} + + /*! + @brief converting assignment + @param[in] other non-const iterator to copy from + @return const/non-const iterator + @note It is not checked whether @a other is initialized. + */ + iter_impl& operator=(const iter_impl::type>& other) noexcept // NOLINT(cert-oop54-cpp) + { + m_object = other.m_object; + m_it = other.m_it; + return *this; + } + + JSON_PRIVATE_UNLESS_TESTED: + /*! + @brief set the iterator to the first value + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + void set_begin() noexcept + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + m_it.object_iterator = m_object->m_value.object->begin(); + break; + } + + case value_t::array: + { + m_it.array_iterator = m_object->m_value.array->begin(); + break; + } + + case value_t::null: + { + // set to end so begin()==end() is true: null is empty + m_it.primitive_iterator.set_end(); + break; + } + + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + m_it.primitive_iterator.set_begin(); + break; + } + } + } + + /*! + @brief set the iterator past the last value + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + void set_end() noexcept + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + m_it.object_iterator = m_object->m_value.object->end(); + break; + } + + case value_t::array: + { + m_it.array_iterator = m_object->m_value.array->end(); + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + m_it.primitive_iterator.set_end(); + break; + } + } + } + + public: + /*! + @brief return a reference to the value pointed to by the iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + reference operator*() const + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + JSON_ASSERT(m_it.object_iterator != m_object->m_value.object->end()); + return m_it.object_iterator->second; + } + + case value_t::array: + { + JSON_ASSERT(m_it.array_iterator != m_object->m_value.array->end()); + return *m_it.array_iterator; + } + + case value_t::null: + JSON_THROW(invalid_iterator::create(214, "cannot get value", *m_object)); + + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + if (JSON_HEDLEY_LIKELY(m_it.primitive_iterator.is_begin())) + { + return *m_object; + } + + JSON_THROW(invalid_iterator::create(214, "cannot get value", *m_object)); + } + } + } + + /*! + @brief dereference the iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + pointer operator->() const + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + JSON_ASSERT(m_it.object_iterator != m_object->m_value.object->end()); + return &(m_it.object_iterator->second); + } + + case value_t::array: + { + JSON_ASSERT(m_it.array_iterator != m_object->m_value.array->end()); + return &*m_it.array_iterator; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + if (JSON_HEDLEY_LIKELY(m_it.primitive_iterator.is_begin())) + { + return m_object; + } + + JSON_THROW(invalid_iterator::create(214, "cannot get value", *m_object)); + } + } + } + + /*! + @brief post-increment (it++) + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl operator++(int)& // NOLINT(cert-dcl21-cpp) + { + auto result = *this; + ++(*this); + return result; + } + + /*! + @brief pre-increment (++it) + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl& operator++() + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + std::advance(m_it.object_iterator, 1); + break; + } + + case value_t::array: + { + std::advance(m_it.array_iterator, 1); + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + ++m_it.primitive_iterator; + break; + } + } + + return *this; + } + + /*! + @brief post-decrement (it--) + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl operator--(int)& // NOLINT(cert-dcl21-cpp) + { + auto result = *this; + --(*this); + return result; + } + + /*! + @brief pre-decrement (--it) + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl& operator--() + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + { + std::advance(m_it.object_iterator, -1); + break; + } + + case value_t::array: + { + std::advance(m_it.array_iterator, -1); + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + --m_it.primitive_iterator; + break; + } + } + + return *this; + } + + /*! + @brief comparison: equal + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + template < typename IterImpl, detail::enable_if_t < (std::is_same::value || std::is_same::value), std::nullptr_t > = nullptr > + bool operator==(const IterImpl& other) const + { + // if objects are not the same, the comparison is undefined + if (JSON_HEDLEY_UNLIKELY(m_object != other.m_object)) + { + JSON_THROW(invalid_iterator::create(212, "cannot compare iterators of different containers", *m_object)); + } + + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + return (m_it.object_iterator == other.m_it.object_iterator); + + case value_t::array: + return (m_it.array_iterator == other.m_it.array_iterator); + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + return (m_it.primitive_iterator == other.m_it.primitive_iterator); + } + } + + /*! + @brief comparison: not equal + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + template < typename IterImpl, detail::enable_if_t < (std::is_same::value || std::is_same::value), std::nullptr_t > = nullptr > + bool operator!=(const IterImpl& other) const + { + return !operator==(other); + } + + /*! + @brief comparison: smaller + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + bool operator<(const iter_impl& other) const + { + // if objects are not the same, the comparison is undefined + if (JSON_HEDLEY_UNLIKELY(m_object != other.m_object)) + { + JSON_THROW(invalid_iterator::create(212, "cannot compare iterators of different containers", *m_object)); + } + + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + JSON_THROW(invalid_iterator::create(213, "cannot compare order of object iterators", *m_object)); + + case value_t::array: + return (m_it.array_iterator < other.m_it.array_iterator); + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + return (m_it.primitive_iterator < other.m_it.primitive_iterator); + } + } + + /*! + @brief comparison: less than or equal + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + bool operator<=(const iter_impl& other) const + { + return !other.operator < (*this); + } + + /*! + @brief comparison: greater than + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + bool operator>(const iter_impl& other) const + { + return !operator<=(other); + } + + /*! + @brief comparison: greater than or equal + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + bool operator>=(const iter_impl& other) const + { + return !operator<(other); + } + + /*! + @brief add to iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl& operator+=(difference_type i) + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + JSON_THROW(invalid_iterator::create(209, "cannot use offsets with object iterators", *m_object)); + + case value_t::array: + { + std::advance(m_it.array_iterator, i); + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + m_it.primitive_iterator += i; + break; + } + } + + return *this; + } + + /*! + @brief subtract from iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl& operator-=(difference_type i) + { + return operator+=(-i); + } + + /*! + @brief add to iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl operator+(difference_type i) const + { + auto result = *this; + result += i; + return result; + } + + /*! + @brief addition of distance and iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + friend iter_impl operator+(difference_type i, const iter_impl& it) + { + auto result = it; + result += i; + return result; + } + + /*! + @brief subtract from iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + iter_impl operator-(difference_type i) const + { + auto result = *this; + result -= i; + return result; + } + + /*! + @brief return difference + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + difference_type operator-(const iter_impl& other) const + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + JSON_THROW(invalid_iterator::create(209, "cannot use offsets with object iterators", *m_object)); + + case value_t::array: + return m_it.array_iterator - other.m_it.array_iterator; + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + return m_it.primitive_iterator - other.m_it.primitive_iterator; + } + } + + /*! + @brief access to successor + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + reference operator[](difference_type n) const + { + JSON_ASSERT(m_object != nullptr); + + switch (m_object->m_type) + { + case value_t::object: + JSON_THROW(invalid_iterator::create(208, "cannot use operator[] for object iterators", *m_object)); + + case value_t::array: + return *std::next(m_it.array_iterator, n); + + case value_t::null: + JSON_THROW(invalid_iterator::create(214, "cannot get value", *m_object)); + + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + if (JSON_HEDLEY_LIKELY(m_it.primitive_iterator.get_value() == -n)) + { + return *m_object; + } + + JSON_THROW(invalid_iterator::create(214, "cannot get value", *m_object)); + } + } + } + + /*! + @brief return the key of an object iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + const typename object_t::key_type& key() const + { + JSON_ASSERT(m_object != nullptr); + + if (JSON_HEDLEY_LIKELY(m_object->is_object())) + { + return m_it.object_iterator->first; + } + + JSON_THROW(invalid_iterator::create(207, "cannot use key() for non-object iterators", *m_object)); + } + + /*! + @brief return the value of an iterator + @pre The iterator is initialized; i.e. `m_object != nullptr`. + */ + reference value() const + { + return operator*(); + } + + JSON_PRIVATE_UNLESS_TESTED: + /// associated JSON instance + pointer m_object = nullptr; + /// the actual iterator of the associated instance + internal_iterator::type> m_it {}; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +#include // ptrdiff_t +#include // reverse_iterator +#include // declval + +namespace nlohmann +{ +namespace detail +{ +////////////////////// +// reverse_iterator // +////////////////////// + +/*! +@brief a template for a reverse iterator class + +@tparam Base the base iterator type to reverse. Valid types are @ref +iterator (to create @ref reverse_iterator) and @ref const_iterator (to +create @ref const_reverse_iterator). + +@requirement The class satisfies the following concept requirements: +- +[BidirectionalIterator](https://en.cppreference.com/w/cpp/named_req/BidirectionalIterator): + The iterator that can be moved can be moved in both directions (i.e. + incremented and decremented). +- [OutputIterator](https://en.cppreference.com/w/cpp/named_req/OutputIterator): + It is possible to write to the pointed-to element (only if @a Base is + @ref iterator). + +@since version 1.0.0 +*/ +template +class json_reverse_iterator : public std::reverse_iterator +{ + public: + using difference_type = std::ptrdiff_t; + /// shortcut to the reverse iterator adapter + using base_iterator = std::reverse_iterator; + /// the reference type for the pointed-to element + using reference = typename Base::reference; + + /// create reverse iterator from iterator + explicit json_reverse_iterator(const typename base_iterator::iterator_type& it) noexcept + : base_iterator(it) {} + + /// create reverse iterator from base class + explicit json_reverse_iterator(const base_iterator& it) noexcept : base_iterator(it) {} + + /// post-increment (it++) + json_reverse_iterator operator++(int)& // NOLINT(cert-dcl21-cpp) + { + return static_cast(base_iterator::operator++(1)); + } + + /// pre-increment (++it) + json_reverse_iterator& operator++() + { + return static_cast(base_iterator::operator++()); + } + + /// post-decrement (it--) + json_reverse_iterator operator--(int)& // NOLINT(cert-dcl21-cpp) + { + return static_cast(base_iterator::operator--(1)); + } + + /// pre-decrement (--it) + json_reverse_iterator& operator--() + { + return static_cast(base_iterator::operator--()); + } + + /// add to iterator + json_reverse_iterator& operator+=(difference_type i) + { + return static_cast(base_iterator::operator+=(i)); + } + + /// add to iterator + json_reverse_iterator operator+(difference_type i) const + { + return static_cast(base_iterator::operator+(i)); + } + + /// subtract from iterator + json_reverse_iterator operator-(difference_type i) const + { + return static_cast(base_iterator::operator-(i)); + } + + /// return difference + difference_type operator-(const json_reverse_iterator& other) const + { + return base_iterator(*this) - base_iterator(other); + } + + /// access to successor + reference operator[](difference_type n) const + { + return *(this->operator+(n)); + } + + /// return the key of an object iterator + auto key() const -> decltype(std::declval().key()) + { + auto it = --this->base(); + return it.key(); + } + + /// return the value of an iterator + reference value() const + { + auto it = --this->base(); + return it.operator * (); + } +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +#include // all_of +#include // isdigit +#include // max +#include // accumulate +#include // string +#include // move +#include // vector + +// #include + +// #include + +// #include + +// #include + + +namespace nlohmann +{ + +/// @brief JSON Pointer defines a string syntax for identifying a specific value within a JSON document +/// @sa https://json.nlohmann.me/api/json_pointer/ +template +class json_pointer +{ + // allow basic_json to access private members + NLOHMANN_BASIC_JSON_TPL_DECLARATION + friend class basic_json; + + public: + /// @brief create JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/json_pointer/ + explicit json_pointer(const std::string& s = "") + : reference_tokens(split(s)) + {} + + /// @brief return a string representation of the JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/to_string/ + std::string to_string() const + { + return std::accumulate(reference_tokens.begin(), reference_tokens.end(), + std::string{}, + [](const std::string & a, const std::string & b) + { + return a + "/" + detail::escape(b); + }); + } + + /// @brief return a string representation of the JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_string/ + operator std::string() const + { + return to_string(); + } + + /// @brief append another JSON pointer at the end of this JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slasheq/ + json_pointer& operator/=(const json_pointer& ptr) + { + reference_tokens.insert(reference_tokens.end(), + ptr.reference_tokens.begin(), + ptr.reference_tokens.end()); + return *this; + } + + /// @brief append an unescaped reference token at the end of this JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slasheq/ + json_pointer& operator/=(std::string token) + { + push_back(std::move(token)); + return *this; + } + + /// @brief append an array index at the end of this JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slasheq/ + json_pointer& operator/=(std::size_t array_idx) + { + return *this /= std::to_string(array_idx); + } + + /// @brief create a new JSON pointer by appending the right JSON pointer at the end of the left JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slash/ + friend json_pointer operator/(const json_pointer& lhs, + const json_pointer& rhs) + { + return json_pointer(lhs) /= rhs; + } + + /// @brief create a new JSON pointer by appending the unescaped token at the end of the JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slash/ + friend json_pointer operator/(const json_pointer& lhs, std::string token) // NOLINT(performance-unnecessary-value-param) + { + return json_pointer(lhs) /= std::move(token); + } + + /// @brief create a new JSON pointer by appending the array-index-token at the end of the JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/operator_slash/ + friend json_pointer operator/(const json_pointer& lhs, std::size_t array_idx) + { + return json_pointer(lhs) /= array_idx; + } + + /// @brief returns the parent of this JSON pointer + /// @sa https://json.nlohmann.me/api/json_pointer/parent_pointer/ + json_pointer parent_pointer() const + { + if (empty()) + { + return *this; + } + + json_pointer res = *this; + res.pop_back(); + return res; + } + + /// @brief remove last reference token + /// @sa https://json.nlohmann.me/api/json_pointer/pop_back/ + void pop_back() + { + if (JSON_HEDLEY_UNLIKELY(empty())) + { + JSON_THROW(detail::out_of_range::create(405, "JSON pointer has no parent", BasicJsonType())); + } + + reference_tokens.pop_back(); + } + + /// @brief return last reference token + /// @sa https://json.nlohmann.me/api/json_pointer/back/ + const std::string& back() const + { + if (JSON_HEDLEY_UNLIKELY(empty())) + { + JSON_THROW(detail::out_of_range::create(405, "JSON pointer has no parent", BasicJsonType())); + } + + return reference_tokens.back(); + } + + /// @brief append an unescaped token at the end of the reference pointer + /// @sa https://json.nlohmann.me/api/json_pointer/push_back/ + void push_back(const std::string& token) + { + reference_tokens.push_back(token); + } + + /// @brief append an unescaped token at the end of the reference pointer + /// @sa https://json.nlohmann.me/api/json_pointer/push_back/ + void push_back(std::string&& token) + { + reference_tokens.push_back(std::move(token)); + } + + /// @brief return whether pointer points to the root document + /// @sa https://json.nlohmann.me/api/json_pointer/empty/ + bool empty() const noexcept + { + return reference_tokens.empty(); + } + + private: + /*! + @param[in] s reference token to be converted into an array index + + @return integer representation of @a s + + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index begins not with a digit + @throw out_of_range.404 if string @a s could not be converted to an integer + @throw out_of_range.410 if an array index exceeds size_type + */ + static typename BasicJsonType::size_type array_index(const std::string& s) + { + using size_type = typename BasicJsonType::size_type; + + // error condition (cf. RFC 6901, Sect. 4) + if (JSON_HEDLEY_UNLIKELY(s.size() > 1 && s[0] == '0')) + { + JSON_THROW(detail::parse_error::create(106, 0, "array index '" + s + "' must not begin with '0'", BasicJsonType())); + } + + // error condition (cf. RFC 6901, Sect. 4) + if (JSON_HEDLEY_UNLIKELY(s.size() > 1 && !(s[0] >= '1' && s[0] <= '9'))) + { + JSON_THROW(detail::parse_error::create(109, 0, "array index '" + s + "' is not a number", BasicJsonType())); + } + + std::size_t processed_chars = 0; + unsigned long long res = 0; // NOLINT(runtime/int) + JSON_TRY + { + res = std::stoull(s, &processed_chars); + } + JSON_CATCH(std::out_of_range&) + { + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + s + "'", BasicJsonType())); + } + + // check if the string was completely read + if (JSON_HEDLEY_UNLIKELY(processed_chars != s.size())) + { + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + s + "'", BasicJsonType())); + } + + // only triggered on special platforms (like 32bit), see also + // https://github.com/nlohmann/json/pull/2203 + if (res >= static_cast((std::numeric_limits::max)())) // NOLINT(runtime/int) + { + JSON_THROW(detail::out_of_range::create(410, "array index " + s + " exceeds size_type", BasicJsonType())); // LCOV_EXCL_LINE + } + + return static_cast(res); + } + + JSON_PRIVATE_UNLESS_TESTED: + json_pointer top() const + { + if (JSON_HEDLEY_UNLIKELY(empty())) + { + JSON_THROW(detail::out_of_range::create(405, "JSON pointer has no parent", BasicJsonType())); + } + + json_pointer result = *this; + result.reference_tokens = {reference_tokens[0]}; + return result; + } + + private: + /*! + @brief create and return a reference to the pointed to value + + @complexity Linear in the number of reference tokens. + + @throw parse_error.109 if array index is not a number + @throw type_error.313 if value cannot be unflattened + */ + BasicJsonType& get_and_create(BasicJsonType& j) const + { + auto* result = &j; + + // in case no reference tokens exist, return a reference to the JSON value + // j which will be overwritten by a primitive value + for (const auto& reference_token : reference_tokens) + { + switch (result->type()) + { + case detail::value_t::null: + { + if (reference_token == "0") + { + // start a new array if reference token is 0 + result = &result->operator[](0); + } + else + { + // start a new object otherwise + result = &result->operator[](reference_token); + } + break; + } + + case detail::value_t::object: + { + // create an entry in the object + result = &result->operator[](reference_token); + break; + } + + case detail::value_t::array: + { + // create an entry in the array + result = &result->operator[](array_index(reference_token)); + break; + } + + /* + The following code is only reached if there exists a reference + token _and_ the current value is primitive. In this case, we have + an error situation, because primitive values may only occur as + single value; that is, with an empty list of reference tokens. + */ + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + JSON_THROW(detail::type_error::create(313, "invalid value to unflatten", j)); + } + } + + return *result; + } + + /*! + @brief return a reference to the pointed to value + + @note This version does not throw if a value is not present, but tries to + create nested values instead. For instance, calling this function + with pointer `"/this/that"` on a null value is equivalent to calling + `operator[]("this").operator[]("that")` on that value, effectively + changing the null value to an object. + + @param[in] ptr a JSON value + + @return reference to the JSON value pointed to by the JSON pointer + + @complexity Linear in the length of the JSON pointer. + + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index was not a number + @throw out_of_range.404 if the JSON pointer can not be resolved + */ + BasicJsonType& get_unchecked(BasicJsonType* ptr) const + { + for (const auto& reference_token : reference_tokens) + { + // convert null values to arrays or objects before continuing + if (ptr->is_null()) + { + // check if reference token is a number + const bool nums = + std::all_of(reference_token.begin(), reference_token.end(), + [](const unsigned char x) + { + return std::isdigit(x); + }); + + // change value to array for numbers or "-" or to object otherwise + *ptr = (nums || reference_token == "-") + ? detail::value_t::array + : detail::value_t::object; + } + + switch (ptr->type()) + { + case detail::value_t::object: + { + // use unchecked object access + ptr = &ptr->operator[](reference_token); + break; + } + + case detail::value_t::array: + { + if (reference_token == "-") + { + // explicitly treat "-" as index beyond the end + ptr = &ptr->operator[](ptr->m_value.array->size()); + } + else + { + // convert array index to number; unchecked access + ptr = &ptr->operator[](array_index(reference_token)); + } + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + reference_token + "'", *ptr)); + } + } + + return *ptr; + } + + /*! + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index was not a number + @throw out_of_range.402 if the array index '-' is used + @throw out_of_range.404 if the JSON pointer can not be resolved + */ + BasicJsonType& get_checked(BasicJsonType* ptr) const + { + for (const auto& reference_token : reference_tokens) + { + switch (ptr->type()) + { + case detail::value_t::object: + { + // note: at performs range check + ptr = &ptr->at(reference_token); + break; + } + + case detail::value_t::array: + { + if (JSON_HEDLEY_UNLIKELY(reference_token == "-")) + { + // "-" always fails the range check + JSON_THROW(detail::out_of_range::create(402, + "array index '-' (" + std::to_string(ptr->m_value.array->size()) + + ") is out of range", *ptr)); + } + + // note: at performs range check + ptr = &ptr->at(array_index(reference_token)); + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + reference_token + "'", *ptr)); + } + } + + return *ptr; + } + + /*! + @brief return a const reference to the pointed to value + + @param[in] ptr a JSON value + + @return const reference to the JSON value pointed to by the JSON + pointer + + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index was not a number + @throw out_of_range.402 if the array index '-' is used + @throw out_of_range.404 if the JSON pointer can not be resolved + */ + const BasicJsonType& get_unchecked(const BasicJsonType* ptr) const + { + for (const auto& reference_token : reference_tokens) + { + switch (ptr->type()) + { + case detail::value_t::object: + { + // use unchecked object access + ptr = &ptr->operator[](reference_token); + break; + } + + case detail::value_t::array: + { + if (JSON_HEDLEY_UNLIKELY(reference_token == "-")) + { + // "-" cannot be used for const access + JSON_THROW(detail::out_of_range::create(402, "array index '-' (" + std::to_string(ptr->m_value.array->size()) + ") is out of range", *ptr)); + } + + // use unchecked array access + ptr = &ptr->operator[](array_index(reference_token)); + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + reference_token + "'", *ptr)); + } + } + + return *ptr; + } + + /*! + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index was not a number + @throw out_of_range.402 if the array index '-' is used + @throw out_of_range.404 if the JSON pointer can not be resolved + */ + const BasicJsonType& get_checked(const BasicJsonType* ptr) const + { + for (const auto& reference_token : reference_tokens) + { + switch (ptr->type()) + { + case detail::value_t::object: + { + // note: at performs range check + ptr = &ptr->at(reference_token); + break; + } + + case detail::value_t::array: + { + if (JSON_HEDLEY_UNLIKELY(reference_token == "-")) + { + // "-" always fails the range check + JSON_THROW(detail::out_of_range::create(402, + "array index '-' (" + std::to_string(ptr->m_value.array->size()) + + ") is out of range", *ptr)); + } + + // note: at performs range check + ptr = &ptr->at(array_index(reference_token)); + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + JSON_THROW(detail::out_of_range::create(404, "unresolved reference token '" + reference_token + "'", *ptr)); + } + } + + return *ptr; + } + + /*! + @throw parse_error.106 if an array index begins with '0' + @throw parse_error.109 if an array index was not a number + */ + bool contains(const BasicJsonType* ptr) const + { + for (const auto& reference_token : reference_tokens) + { + switch (ptr->type()) + { + case detail::value_t::object: + { + if (!ptr->contains(reference_token)) + { + // we did not find the key in the object + return false; + } + + ptr = &ptr->operator[](reference_token); + break; + } + + case detail::value_t::array: + { + if (JSON_HEDLEY_UNLIKELY(reference_token == "-")) + { + // "-" always fails the range check + return false; + } + if (JSON_HEDLEY_UNLIKELY(reference_token.size() == 1 && !("0" <= reference_token && reference_token <= "9"))) + { + // invalid char + return false; + } + if (JSON_HEDLEY_UNLIKELY(reference_token.size() > 1)) + { + if (JSON_HEDLEY_UNLIKELY(!('1' <= reference_token[0] && reference_token[0] <= '9'))) + { + // first char should be between '1' and '9' + return false; + } + for (std::size_t i = 1; i < reference_token.size(); i++) + { + if (JSON_HEDLEY_UNLIKELY(!('0' <= reference_token[i] && reference_token[i] <= '9'))) + { + // other char should be between '0' and '9' + return false; + } + } + } + + const auto idx = array_index(reference_token); + if (idx >= ptr->size()) + { + // index out of range + return false; + } + + ptr = &ptr->operator[](idx); + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + { + // we do not expect primitive values if there is still a + // reference token to process + return false; + } + } + } + + // no reference token left means we found a primitive value + return true; + } + + /*! + @brief split the string input to reference tokens + + @note This function is only called by the json_pointer constructor. + All exceptions below are documented there. + + @throw parse_error.107 if the pointer is not empty or begins with '/' + @throw parse_error.108 if character '~' is not followed by '0' or '1' + */ + static std::vector split(const std::string& reference_string) + { + std::vector result; + + // special case: empty reference string -> no reference tokens + if (reference_string.empty()) + { + return result; + } + + // check if nonempty reference string begins with slash + if (JSON_HEDLEY_UNLIKELY(reference_string[0] != '/')) + { + JSON_THROW(detail::parse_error::create(107, 1, "JSON pointer must be empty or begin with '/' - was: '" + reference_string + "'", BasicJsonType())); + } + + // extract the reference tokens: + // - slash: position of the last read slash (or end of string) + // - start: position after the previous slash + for ( + // search for the first slash after the first character + std::size_t slash = reference_string.find_first_of('/', 1), + // set the beginning of the first reference token + start = 1; + // we can stop if start == 0 (if slash == std::string::npos) + start != 0; + // set the beginning of the next reference token + // (will eventually be 0 if slash == std::string::npos) + start = (slash == std::string::npos) ? 0 : slash + 1, + // find next slash + slash = reference_string.find_first_of('/', start)) + { + // use the text between the beginning of the reference token + // (start) and the last slash (slash). + auto reference_token = reference_string.substr(start, slash - start); + + // check reference tokens are properly escaped + for (std::size_t pos = reference_token.find_first_of('~'); + pos != std::string::npos; + pos = reference_token.find_first_of('~', pos + 1)) + { + JSON_ASSERT(reference_token[pos] == '~'); + + // ~ must be followed by 0 or 1 + if (JSON_HEDLEY_UNLIKELY(pos == reference_token.size() - 1 || + (reference_token[pos + 1] != '0' && + reference_token[pos + 1] != '1'))) + { + JSON_THROW(detail::parse_error::create(108, 0, "escape character '~' must be followed with '0' or '1'", BasicJsonType())); + } + } + + // finally, store the reference token + detail::unescape(reference_token); + result.push_back(reference_token); + } + + return result; + } + + private: + /*! + @param[in] reference_string the reference string to the current value + @param[in] value the value to consider + @param[in,out] result the result object to insert values to + + @note Empty objects or arrays are flattened to `null`. + */ + static void flatten(const std::string& reference_string, + const BasicJsonType& value, + BasicJsonType& result) + { + switch (value.type()) + { + case detail::value_t::array: + { + if (value.m_value.array->empty()) + { + // flatten empty array as null + result[reference_string] = nullptr; + } + else + { + // iterate array and use index as reference string + for (std::size_t i = 0; i < value.m_value.array->size(); ++i) + { + flatten(reference_string + "/" + std::to_string(i), + value.m_value.array->operator[](i), result); + } + } + break; + } + + case detail::value_t::object: + { + if (value.m_value.object->empty()) + { + // flatten empty object as null + result[reference_string] = nullptr; + } + else + { + // iterate object and use keys as reference string + for (const auto& element : *value.m_value.object) + { + flatten(reference_string + "/" + detail::escape(element.first), element.second, result); + } + } + break; + } + + case detail::value_t::null: + case detail::value_t::string: + case detail::value_t::boolean: + case detail::value_t::number_integer: + case detail::value_t::number_unsigned: + case detail::value_t::number_float: + case detail::value_t::binary: + case detail::value_t::discarded: + default: + { + // add primitive value with its reference string + result[reference_string] = value; + break; + } + } + } + + /*! + @param[in] value flattened JSON + + @return unflattened JSON + + @throw parse_error.109 if array index is not a number + @throw type_error.314 if value is not an object + @throw type_error.315 if object values are not primitive + @throw type_error.313 if value cannot be unflattened + */ + static BasicJsonType + unflatten(const BasicJsonType& value) + { + if (JSON_HEDLEY_UNLIKELY(!value.is_object())) + { + JSON_THROW(detail::type_error::create(314, "only objects can be unflattened", value)); + } + + BasicJsonType result; + + // iterate the JSON object values + for (const auto& element : *value.m_value.object) + { + if (JSON_HEDLEY_UNLIKELY(!element.second.is_primitive())) + { + JSON_THROW(detail::type_error::create(315, "values in object must be primitive", element.second)); + } + + // assign value to reference pointed to by JSON pointer; Note that if + // the JSON pointer is "" (i.e., points to the whole value), function + // get_and_create returns a reference to result itself. An assignment + // will then create a primitive value. + json_pointer(element.first).get_and_create(result) = element.second; + } + + return result; + } + + /*! + @brief compares two JSON pointers for equality + + @param[in] lhs JSON pointer to compare + @param[in] rhs JSON pointer to compare + @return whether @a lhs is equal to @a rhs + + @complexity Linear in the length of the JSON pointer + + @exceptionsafety No-throw guarantee: this function never throws exceptions. + */ + friend bool operator==(json_pointer const& lhs, + json_pointer const& rhs) noexcept + { + return lhs.reference_tokens == rhs.reference_tokens; + } + + /*! + @brief compares two JSON pointers for inequality + + @param[in] lhs JSON pointer to compare + @param[in] rhs JSON pointer to compare + @return whether @a lhs is not equal @a rhs + + @complexity Linear in the length of the JSON pointer + + @exceptionsafety No-throw guarantee: this function never throws exceptions. + */ + friend bool operator!=(json_pointer const& lhs, + json_pointer const& rhs) noexcept + { + return !(lhs == rhs); + } + + /// the reference tokens + std::vector reference_tokens; +}; +} // namespace nlohmann + +// #include + + +#include +#include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +template +class json_ref +{ + public: + using value_type = BasicJsonType; + + json_ref(value_type&& value) + : owned_value(std::move(value)) + {} + + json_ref(const value_type& value) + : value_ref(&value) + {} + + json_ref(std::initializer_list init) + : owned_value(init) + {} + + template < + class... Args, + enable_if_t::value, int> = 0 > + json_ref(Args && ... args) + : owned_value(std::forward(args)...) + {} + + // class should be movable only + json_ref(json_ref&&) noexcept = default; + json_ref(const json_ref&) = delete; + json_ref& operator=(const json_ref&) = delete; + json_ref& operator=(json_ref&&) = delete; + ~json_ref() = default; + + value_type moved_or_copied() const + { + if (value_ref == nullptr) + { + return std::move(owned_value); + } + return *value_ref; + } + + value_type const& operator*() const + { + return value_ref ? *value_ref : owned_value; + } + + value_type const* operator->() const + { + return &** this; + } + + private: + mutable value_type owned_value = nullptr; + value_type const* value_ref = nullptr; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + +// #include + +// #include + +// #include + + +#include // reverse +#include // array +#include // isnan, isinf +#include // uint8_t, uint16_t, uint32_t, uint64_t +#include // memcpy +#include // numeric_limits +#include // string +#include // move + +// #include + +// #include + +// #include + + +#include // copy +#include // size_t +#include // back_inserter +#include // shared_ptr, make_shared +#include // basic_string +#include // vector + +#ifndef JSON_NO_IO + #include // streamsize + #include // basic_ostream +#endif // JSON_NO_IO + +// #include + + +namespace nlohmann +{ +namespace detail +{ +/// abstract output adapter interface +template struct output_adapter_protocol +{ + virtual void write_character(CharType c) = 0; + virtual void write_characters(const CharType* s, std::size_t length) = 0; + virtual ~output_adapter_protocol() = default; + + output_adapter_protocol() = default; + output_adapter_protocol(const output_adapter_protocol&) = default; + output_adapter_protocol(output_adapter_protocol&&) noexcept = default; + output_adapter_protocol& operator=(const output_adapter_protocol&) = default; + output_adapter_protocol& operator=(output_adapter_protocol&&) noexcept = default; +}; + +/// a type to simplify interfaces +template +using output_adapter_t = std::shared_ptr>; + +/// output adapter for byte vectors +template> +class output_vector_adapter : public output_adapter_protocol +{ + public: + explicit output_vector_adapter(std::vector& vec) noexcept + : v(vec) + {} + + void write_character(CharType c) override + { + v.push_back(c); + } + + JSON_HEDLEY_NON_NULL(2) + void write_characters(const CharType* s, std::size_t length) override + { + std::copy(s, s + length, std::back_inserter(v)); + } + + private: + std::vector& v; +}; + +#ifndef JSON_NO_IO +/// output adapter for output streams +template +class output_stream_adapter : public output_adapter_protocol +{ + public: + explicit output_stream_adapter(std::basic_ostream& s) noexcept + : stream(s) + {} + + void write_character(CharType c) override + { + stream.put(c); + } + + JSON_HEDLEY_NON_NULL(2) + void write_characters(const CharType* s, std::size_t length) override + { + stream.write(s, static_cast(length)); + } + + private: + std::basic_ostream& stream; +}; +#endif // JSON_NO_IO + +/// output adapter for basic_string +template> +class output_string_adapter : public output_adapter_protocol +{ + public: + explicit output_string_adapter(StringType& s) noexcept + : str(s) + {} + + void write_character(CharType c) override + { + str.push_back(c); + } + + JSON_HEDLEY_NON_NULL(2) + void write_characters(const CharType* s, std::size_t length) override + { + str.append(s, length); + } + + private: + StringType& str; +}; + +template> +class output_adapter +{ + public: + template> + output_adapter(std::vector& vec) + : oa(std::make_shared>(vec)) {} + +#ifndef JSON_NO_IO + output_adapter(std::basic_ostream& s) + : oa(std::make_shared>(s)) {} +#endif // JSON_NO_IO + + output_adapter(StringType& s) + : oa(std::make_shared>(s)) {} + + operator output_adapter_t() + { + return oa; + } + + private: + output_adapter_t oa = nullptr; +}; +} // namespace detail +} // namespace nlohmann + + +namespace nlohmann +{ +namespace detail +{ +/////////////////// +// binary writer // +/////////////////// + +/*! +@brief serialization to CBOR and MessagePack values +*/ +template +class binary_writer +{ + using string_t = typename BasicJsonType::string_t; + using binary_t = typename BasicJsonType::binary_t; + using number_float_t = typename BasicJsonType::number_float_t; + + public: + /*! + @brief create a binary writer + + @param[in] adapter output adapter to write to + */ + explicit binary_writer(output_adapter_t adapter) : oa(std::move(adapter)) + { + JSON_ASSERT(oa); + } + + /*! + @param[in] j JSON value to serialize + @pre j.type() == value_t::object + */ + void write_bson(const BasicJsonType& j) + { + switch (j.type()) + { + case value_t::object: + { + write_bson_object(*j.m_value.object); + break; + } + + case value_t::null: + case value_t::array: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + JSON_THROW(type_error::create(317, "to serialize to BSON, top-level type must be object, but is " + std::string(j.type_name()), j)); + } + } + } + + /*! + @param[in] j JSON value to serialize + */ + void write_cbor(const BasicJsonType& j) + { + switch (j.type()) + { + case value_t::null: + { + oa->write_character(to_char_type(0xF6)); + break; + } + + case value_t::boolean: + { + oa->write_character(j.m_value.boolean + ? to_char_type(0xF5) + : to_char_type(0xF4)); + break; + } + + case value_t::number_integer: + { + if (j.m_value.number_integer >= 0) + { + // CBOR does not differentiate between positive signed + // integers and unsigned integers. Therefore, we used the + // code from the value_t::number_unsigned case here. + if (j.m_value.number_integer <= 0x17) + { + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x18)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x19)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x1A)); + write_number(static_cast(j.m_value.number_integer)); + } + else + { + oa->write_character(to_char_type(0x1B)); + write_number(static_cast(j.m_value.number_integer)); + } + } + else + { + // The conversions below encode the sign in the first + // byte, and the value is converted to a positive number. + const auto positive_number = -1 - j.m_value.number_integer; + if (j.m_value.number_integer >= -24) + { + write_number(static_cast(0x20 + positive_number)); + } + else if (positive_number <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x38)); + write_number(static_cast(positive_number)); + } + else if (positive_number <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x39)); + write_number(static_cast(positive_number)); + } + else if (positive_number <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x3A)); + write_number(static_cast(positive_number)); + } + else + { + oa->write_character(to_char_type(0x3B)); + write_number(static_cast(positive_number)); + } + } + break; + } + + case value_t::number_unsigned: + { + if (j.m_value.number_unsigned <= 0x17) + { + write_number(static_cast(j.m_value.number_unsigned)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x18)); + write_number(static_cast(j.m_value.number_unsigned)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x19)); + write_number(static_cast(j.m_value.number_unsigned)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x1A)); + write_number(static_cast(j.m_value.number_unsigned)); + } + else + { + oa->write_character(to_char_type(0x1B)); + write_number(static_cast(j.m_value.number_unsigned)); + } + break; + } + + case value_t::number_float: + { + if (std::isnan(j.m_value.number_float)) + { + // NaN is 0xf97e00 in CBOR + oa->write_character(to_char_type(0xF9)); + oa->write_character(to_char_type(0x7E)); + oa->write_character(to_char_type(0x00)); + } + else if (std::isinf(j.m_value.number_float)) + { + // Infinity is 0xf97c00, -Infinity is 0xf9fc00 + oa->write_character(to_char_type(0xf9)); + oa->write_character(j.m_value.number_float > 0 ? to_char_type(0x7C) : to_char_type(0xFC)); + oa->write_character(to_char_type(0x00)); + } + else + { + write_compact_float(j.m_value.number_float, detail::input_format_t::cbor); + } + break; + } + + case value_t::string: + { + // step 1: write control byte and the string length + const auto N = j.m_value.string->size(); + if (N <= 0x17) + { + write_number(static_cast(0x60 + N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x78)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x79)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x7A)); + write_number(static_cast(N)); + } + // LCOV_EXCL_START + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x7B)); + write_number(static_cast(N)); + } + // LCOV_EXCL_STOP + + // step 2: write the string + oa->write_characters( + reinterpret_cast(j.m_value.string->c_str()), + j.m_value.string->size()); + break; + } + + case value_t::array: + { + // step 1: write control byte and the array size + const auto N = j.m_value.array->size(); + if (N <= 0x17) + { + write_number(static_cast(0x80 + N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x98)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x99)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x9A)); + write_number(static_cast(N)); + } + // LCOV_EXCL_START + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x9B)); + write_number(static_cast(N)); + } + // LCOV_EXCL_STOP + + // step 2: write each element + for (const auto& el : *j.m_value.array) + { + write_cbor(el); + } + break; + } + + case value_t::binary: + { + if (j.m_value.binary->has_subtype()) + { + if (j.m_value.binary->subtype() <= (std::numeric_limits::max)()) + { + write_number(static_cast(0xd8)); + write_number(static_cast(j.m_value.binary->subtype())); + } + else if (j.m_value.binary->subtype() <= (std::numeric_limits::max)()) + { + write_number(static_cast(0xd9)); + write_number(static_cast(j.m_value.binary->subtype())); + } + else if (j.m_value.binary->subtype() <= (std::numeric_limits::max)()) + { + write_number(static_cast(0xda)); + write_number(static_cast(j.m_value.binary->subtype())); + } + else if (j.m_value.binary->subtype() <= (std::numeric_limits::max)()) + { + write_number(static_cast(0xdb)); + write_number(static_cast(j.m_value.binary->subtype())); + } + } + + // step 1: write control byte and the binary array size + const auto N = j.m_value.binary->size(); + if (N <= 0x17) + { + write_number(static_cast(0x40 + N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x58)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x59)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x5A)); + write_number(static_cast(N)); + } + // LCOV_EXCL_START + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0x5B)); + write_number(static_cast(N)); + } + // LCOV_EXCL_STOP + + // step 2: write each element + oa->write_characters( + reinterpret_cast(j.m_value.binary->data()), + N); + + break; + } + + case value_t::object: + { + // step 1: write control byte and the object size + const auto N = j.m_value.object->size(); + if (N <= 0x17) + { + write_number(static_cast(0xA0 + N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0xB8)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0xB9)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0xBA)); + write_number(static_cast(N)); + } + // LCOV_EXCL_START + else if (N <= (std::numeric_limits::max)()) + { + oa->write_character(to_char_type(0xBB)); + write_number(static_cast(N)); + } + // LCOV_EXCL_STOP + + // step 2: write each element + for (const auto& el : *j.m_value.object) + { + write_cbor(el.first); + write_cbor(el.second); + } + break; + } + + case value_t::discarded: + default: + break; + } + } + + /*! + @param[in] j JSON value to serialize + */ + void write_msgpack(const BasicJsonType& j) + { + switch (j.type()) + { + case value_t::null: // nil + { + oa->write_character(to_char_type(0xC0)); + break; + } + + case value_t::boolean: // true and false + { + oa->write_character(j.m_value.boolean + ? to_char_type(0xC3) + : to_char_type(0xC2)); + break; + } + + case value_t::number_integer: + { + if (j.m_value.number_integer >= 0) + { + // MessagePack does not differentiate between positive + // signed integers and unsigned integers. Therefore, we used + // the code from the value_t::number_unsigned case here. + if (j.m_value.number_unsigned < 128) + { + // positive fixnum + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 8 + oa->write_character(to_char_type(0xCC)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 16 + oa->write_character(to_char_type(0xCD)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 32 + oa->write_character(to_char_type(0xCE)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 64 + oa->write_character(to_char_type(0xCF)); + write_number(static_cast(j.m_value.number_integer)); + } + } + else + { + if (j.m_value.number_integer >= -32) + { + // negative fixnum + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer >= (std::numeric_limits::min)() && + j.m_value.number_integer <= (std::numeric_limits::max)()) + { + // int 8 + oa->write_character(to_char_type(0xD0)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer >= (std::numeric_limits::min)() && + j.m_value.number_integer <= (std::numeric_limits::max)()) + { + // int 16 + oa->write_character(to_char_type(0xD1)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer >= (std::numeric_limits::min)() && + j.m_value.number_integer <= (std::numeric_limits::max)()) + { + // int 32 + oa->write_character(to_char_type(0xD2)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_integer >= (std::numeric_limits::min)() && + j.m_value.number_integer <= (std::numeric_limits::max)()) + { + // int 64 + oa->write_character(to_char_type(0xD3)); + write_number(static_cast(j.m_value.number_integer)); + } + } + break; + } + + case value_t::number_unsigned: + { + if (j.m_value.number_unsigned < 128) + { + // positive fixnum + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 8 + oa->write_character(to_char_type(0xCC)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 16 + oa->write_character(to_char_type(0xCD)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 32 + oa->write_character(to_char_type(0xCE)); + write_number(static_cast(j.m_value.number_integer)); + } + else if (j.m_value.number_unsigned <= (std::numeric_limits::max)()) + { + // uint 64 + oa->write_character(to_char_type(0xCF)); + write_number(static_cast(j.m_value.number_integer)); + } + break; + } + + case value_t::number_float: + { + write_compact_float(j.m_value.number_float, detail::input_format_t::msgpack); + break; + } + + case value_t::string: + { + // step 1: write control byte and the string length + const auto N = j.m_value.string->size(); + if (N <= 31) + { + // fixstr + write_number(static_cast(0xA0 | N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // str 8 + oa->write_character(to_char_type(0xD9)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // str 16 + oa->write_character(to_char_type(0xDA)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // str 32 + oa->write_character(to_char_type(0xDB)); + write_number(static_cast(N)); + } + + // step 2: write the string + oa->write_characters( + reinterpret_cast(j.m_value.string->c_str()), + j.m_value.string->size()); + break; + } + + case value_t::array: + { + // step 1: write control byte and the array size + const auto N = j.m_value.array->size(); + if (N <= 15) + { + // fixarray + write_number(static_cast(0x90 | N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // array 16 + oa->write_character(to_char_type(0xDC)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // array 32 + oa->write_character(to_char_type(0xDD)); + write_number(static_cast(N)); + } + + // step 2: write each element + for (const auto& el : *j.m_value.array) + { + write_msgpack(el); + } + break; + } + + case value_t::binary: + { + // step 0: determine if the binary type has a set subtype to + // determine whether or not to use the ext or fixext types + const bool use_ext = j.m_value.binary->has_subtype(); + + // step 1: write control byte and the byte string length + const auto N = j.m_value.binary->size(); + if (N <= (std::numeric_limits::max)()) + { + std::uint8_t output_type{}; + bool fixed = true; + if (use_ext) + { + switch (N) + { + case 1: + output_type = 0xD4; // fixext 1 + break; + case 2: + output_type = 0xD5; // fixext 2 + break; + case 4: + output_type = 0xD6; // fixext 4 + break; + case 8: + output_type = 0xD7; // fixext 8 + break; + case 16: + output_type = 0xD8; // fixext 16 + break; + default: + output_type = 0xC7; // ext 8 + fixed = false; + break; + } + + } + else + { + output_type = 0xC4; // bin 8 + fixed = false; + } + + oa->write_character(to_char_type(output_type)); + if (!fixed) + { + write_number(static_cast(N)); + } + } + else if (N <= (std::numeric_limits::max)()) + { + std::uint8_t output_type = use_ext + ? 0xC8 // ext 16 + : 0xC5; // bin 16 + + oa->write_character(to_char_type(output_type)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + std::uint8_t output_type = use_ext + ? 0xC9 // ext 32 + : 0xC6; // bin 32 + + oa->write_character(to_char_type(output_type)); + write_number(static_cast(N)); + } + + // step 1.5: if this is an ext type, write the subtype + if (use_ext) + { + write_number(static_cast(j.m_value.binary->subtype())); + } + + // step 2: write the byte string + oa->write_characters( + reinterpret_cast(j.m_value.binary->data()), + N); + + break; + } + + case value_t::object: + { + // step 1: write control byte and the object size + const auto N = j.m_value.object->size(); + if (N <= 15) + { + // fixmap + write_number(static_cast(0x80 | (N & 0xF))); + } + else if (N <= (std::numeric_limits::max)()) + { + // map 16 + oa->write_character(to_char_type(0xDE)); + write_number(static_cast(N)); + } + else if (N <= (std::numeric_limits::max)()) + { + // map 32 + oa->write_character(to_char_type(0xDF)); + write_number(static_cast(N)); + } + + // step 2: write each element + for (const auto& el : *j.m_value.object) + { + write_msgpack(el.first); + write_msgpack(el.second); + } + break; + } + + case value_t::discarded: + default: + break; + } + } + + /*! + @param[in] j JSON value to serialize + @param[in] use_count whether to use '#' prefixes (optimized format) + @param[in] use_type whether to use '$' prefixes (optimized format) + @param[in] add_prefix whether prefixes need to be used for this value + */ + void write_ubjson(const BasicJsonType& j, const bool use_count, + const bool use_type, const bool add_prefix = true) + { + switch (j.type()) + { + case value_t::null: + { + if (add_prefix) + { + oa->write_character(to_char_type('Z')); + } + break; + } + + case value_t::boolean: + { + if (add_prefix) + { + oa->write_character(j.m_value.boolean + ? to_char_type('T') + : to_char_type('F')); + } + break; + } + + case value_t::number_integer: + { + write_number_with_ubjson_prefix(j.m_value.number_integer, add_prefix); + break; + } + + case value_t::number_unsigned: + { + write_number_with_ubjson_prefix(j.m_value.number_unsigned, add_prefix); + break; + } + + case value_t::number_float: + { + write_number_with_ubjson_prefix(j.m_value.number_float, add_prefix); + break; + } + + case value_t::string: + { + if (add_prefix) + { + oa->write_character(to_char_type('S')); + } + write_number_with_ubjson_prefix(j.m_value.string->size(), true); + oa->write_characters( + reinterpret_cast(j.m_value.string->c_str()), + j.m_value.string->size()); + break; + } + + case value_t::array: + { + if (add_prefix) + { + oa->write_character(to_char_type('[')); + } + + bool prefix_required = true; + if (use_type && !j.m_value.array->empty()) + { + JSON_ASSERT(use_count); + const CharType first_prefix = ubjson_prefix(j.front()); + const bool same_prefix = std::all_of(j.begin() + 1, j.end(), + [this, first_prefix](const BasicJsonType & v) + { + return ubjson_prefix(v) == first_prefix; + }); + + if (same_prefix) + { + prefix_required = false; + oa->write_character(to_char_type('$')); + oa->write_character(first_prefix); + } + } + + if (use_count) + { + oa->write_character(to_char_type('#')); + write_number_with_ubjson_prefix(j.m_value.array->size(), true); + } + + for (const auto& el : *j.m_value.array) + { + write_ubjson(el, use_count, use_type, prefix_required); + } + + if (!use_count) + { + oa->write_character(to_char_type(']')); + } + + break; + } + + case value_t::binary: + { + if (add_prefix) + { + oa->write_character(to_char_type('[')); + } + + if (use_type && !j.m_value.binary->empty()) + { + JSON_ASSERT(use_count); + oa->write_character(to_char_type('$')); + oa->write_character('U'); + } + + if (use_count) + { + oa->write_character(to_char_type('#')); + write_number_with_ubjson_prefix(j.m_value.binary->size(), true); + } + + if (use_type) + { + oa->write_characters( + reinterpret_cast(j.m_value.binary->data()), + j.m_value.binary->size()); + } + else + { + for (size_t i = 0; i < j.m_value.binary->size(); ++i) + { + oa->write_character(to_char_type('U')); + oa->write_character(j.m_value.binary->data()[i]); + } + } + + if (!use_count) + { + oa->write_character(to_char_type(']')); + } + + break; + } + + case value_t::object: + { + if (add_prefix) + { + oa->write_character(to_char_type('{')); + } + + bool prefix_required = true; + if (use_type && !j.m_value.object->empty()) + { + JSON_ASSERT(use_count); + const CharType first_prefix = ubjson_prefix(j.front()); + const bool same_prefix = std::all_of(j.begin(), j.end(), + [this, first_prefix](const BasicJsonType & v) + { + return ubjson_prefix(v) == first_prefix; + }); + + if (same_prefix) + { + prefix_required = false; + oa->write_character(to_char_type('$')); + oa->write_character(first_prefix); + } + } + + if (use_count) + { + oa->write_character(to_char_type('#')); + write_number_with_ubjson_prefix(j.m_value.object->size(), true); + } + + for (const auto& el : *j.m_value.object) + { + write_number_with_ubjson_prefix(el.first.size(), true); + oa->write_characters( + reinterpret_cast(el.first.c_str()), + el.first.size()); + write_ubjson(el.second, use_count, use_type, prefix_required); + } + + if (!use_count) + { + oa->write_character(to_char_type('}')); + } + + break; + } + + case value_t::discarded: + default: + break; + } + } + + private: + ////////// + // BSON // + ////////// + + /*! + @return The size of a BSON document entry header, including the id marker + and the entry name size (and its null-terminator). + */ + static std::size_t calc_bson_entry_header_size(const string_t& name, const BasicJsonType& j) + { + const auto it = name.find(static_cast(0)); + if (JSON_HEDLEY_UNLIKELY(it != BasicJsonType::string_t::npos)) + { + JSON_THROW(out_of_range::create(409, "BSON key cannot contain code point U+0000 (at byte " + std::to_string(it) + ")", j)); + static_cast(j); + } + + return /*id*/ 1ul + name.size() + /*zero-terminator*/1u; + } + + /*! + @brief Writes the given @a element_type and @a name to the output adapter + */ + void write_bson_entry_header(const string_t& name, + const std::uint8_t element_type) + { + oa->write_character(to_char_type(element_type)); // boolean + oa->write_characters( + reinterpret_cast(name.c_str()), + name.size() + 1u); + } + + /*! + @brief Writes a BSON element with key @a name and boolean value @a value + */ + void write_bson_boolean(const string_t& name, + const bool value) + { + write_bson_entry_header(name, 0x08); + oa->write_character(value ? to_char_type(0x01) : to_char_type(0x00)); + } + + /*! + @brief Writes a BSON element with key @a name and double value @a value + */ + void write_bson_double(const string_t& name, + const double value) + { + write_bson_entry_header(name, 0x01); + write_number(value); + } + + /*! + @return The size of the BSON-encoded string in @a value + */ + static std::size_t calc_bson_string_size(const string_t& value) + { + return sizeof(std::int32_t) + value.size() + 1ul; + } + + /*! + @brief Writes a BSON element with key @a name and string value @a value + */ + void write_bson_string(const string_t& name, + const string_t& value) + { + write_bson_entry_header(name, 0x02); + + write_number(static_cast(value.size() + 1ul)); + oa->write_characters( + reinterpret_cast(value.c_str()), + value.size() + 1); + } + + /*! + @brief Writes a BSON element with key @a name and null value + */ + void write_bson_null(const string_t& name) + { + write_bson_entry_header(name, 0x0A); + } + + /*! + @return The size of the BSON-encoded integer @a value + */ + static std::size_t calc_bson_integer_size(const std::int64_t value) + { + return (std::numeric_limits::min)() <= value && value <= (std::numeric_limits::max)() + ? sizeof(std::int32_t) + : sizeof(std::int64_t); + } + + /*! + @brief Writes a BSON element with key @a name and integer @a value + */ + void write_bson_integer(const string_t& name, + const std::int64_t value) + { + if ((std::numeric_limits::min)() <= value && value <= (std::numeric_limits::max)()) + { + write_bson_entry_header(name, 0x10); // int32 + write_number(static_cast(value)); + } + else + { + write_bson_entry_header(name, 0x12); // int64 + write_number(static_cast(value)); + } + } + + /*! + @return The size of the BSON-encoded unsigned integer in @a j + */ + static constexpr std::size_t calc_bson_unsigned_size(const std::uint64_t value) noexcept + { + return (value <= static_cast((std::numeric_limits::max)())) + ? sizeof(std::int32_t) + : sizeof(std::int64_t); + } + + /*! + @brief Writes a BSON element with key @a name and unsigned @a value + */ + void write_bson_unsigned(const string_t& name, + const BasicJsonType& j) + { + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + write_bson_entry_header(name, 0x10 /* int32 */); + write_number(static_cast(j.m_value.number_unsigned)); + } + else if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + write_bson_entry_header(name, 0x12 /* int64 */); + write_number(static_cast(j.m_value.number_unsigned)); + } + else + { + JSON_THROW(out_of_range::create(407, "integer number " + std::to_string(j.m_value.number_unsigned) + " cannot be represented by BSON as it does not fit int64", j)); + } + } + + /*! + @brief Writes a BSON element with key @a name and object @a value + */ + void write_bson_object_entry(const string_t& name, + const typename BasicJsonType::object_t& value) + { + write_bson_entry_header(name, 0x03); // object + write_bson_object(value); + } + + /*! + @return The size of the BSON-encoded array @a value + */ + static std::size_t calc_bson_array_size(const typename BasicJsonType::array_t& value) + { + std::size_t array_index = 0ul; + + const std::size_t embedded_document_size = std::accumulate(std::begin(value), std::end(value), static_cast(0), [&array_index](std::size_t result, const typename BasicJsonType::array_t::value_type & el) + { + return result + calc_bson_element_size(std::to_string(array_index++), el); + }); + + return sizeof(std::int32_t) + embedded_document_size + 1ul; + } + + /*! + @return The size of the BSON-encoded binary array @a value + */ + static std::size_t calc_bson_binary_size(const typename BasicJsonType::binary_t& value) + { + return sizeof(std::int32_t) + value.size() + 1ul; + } + + /*! + @brief Writes a BSON element with key @a name and array @a value + */ + void write_bson_array(const string_t& name, + const typename BasicJsonType::array_t& value) + { + write_bson_entry_header(name, 0x04); // array + write_number(static_cast(calc_bson_array_size(value))); + + std::size_t array_index = 0ul; + + for (const auto& el : value) + { + write_bson_element(std::to_string(array_index++), el); + } + + oa->write_character(to_char_type(0x00)); + } + + /*! + @brief Writes a BSON element with key @a name and binary value @a value + */ + void write_bson_binary(const string_t& name, + const binary_t& value) + { + write_bson_entry_header(name, 0x05); + + write_number(static_cast(value.size())); + write_number(value.has_subtype() ? static_cast(value.subtype()) : static_cast(0x00)); + + oa->write_characters(reinterpret_cast(value.data()), value.size()); + } + + /*! + @brief Calculates the size necessary to serialize the JSON value @a j with its @a name + @return The calculated size for the BSON document entry for @a j with the given @a name. + */ + static std::size_t calc_bson_element_size(const string_t& name, + const BasicJsonType& j) + { + const auto header_size = calc_bson_entry_header_size(name, j); + switch (j.type()) + { + case value_t::object: + return header_size + calc_bson_object_size(*j.m_value.object); + + case value_t::array: + return header_size + calc_bson_array_size(*j.m_value.array); + + case value_t::binary: + return header_size + calc_bson_binary_size(*j.m_value.binary); + + case value_t::boolean: + return header_size + 1ul; + + case value_t::number_float: + return header_size + 8ul; + + case value_t::number_integer: + return header_size + calc_bson_integer_size(j.m_value.number_integer); + + case value_t::number_unsigned: + return header_size + calc_bson_unsigned_size(j.m_value.number_unsigned); + + case value_t::string: + return header_size + calc_bson_string_size(*j.m_value.string); + + case value_t::null: + return header_size + 0ul; + + // LCOV_EXCL_START + case value_t::discarded: + default: + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) + return 0ul; + // LCOV_EXCL_STOP + } + } + + /*! + @brief Serializes the JSON value @a j to BSON and associates it with the + key @a name. + @param name The name to associate with the JSON entity @a j within the + current BSON document + */ + void write_bson_element(const string_t& name, + const BasicJsonType& j) + { + switch (j.type()) + { + case value_t::object: + return write_bson_object_entry(name, *j.m_value.object); + + case value_t::array: + return write_bson_array(name, *j.m_value.array); + + case value_t::binary: + return write_bson_binary(name, *j.m_value.binary); + + case value_t::boolean: + return write_bson_boolean(name, j.m_value.boolean); + + case value_t::number_float: + return write_bson_double(name, j.m_value.number_float); + + case value_t::number_integer: + return write_bson_integer(name, j.m_value.number_integer); + + case value_t::number_unsigned: + return write_bson_unsigned(name, j); + + case value_t::string: + return write_bson_string(name, *j.m_value.string); + + case value_t::null: + return write_bson_null(name); + + // LCOV_EXCL_START + case value_t::discarded: + default: + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) + return; + // LCOV_EXCL_STOP + } + } + + /*! + @brief Calculates the size of the BSON serialization of the given + JSON-object @a j. + @param[in] value JSON value to serialize + @pre value.type() == value_t::object + */ + static std::size_t calc_bson_object_size(const typename BasicJsonType::object_t& value) + { + std::size_t document_size = std::accumulate(value.begin(), value.end(), static_cast(0), + [](size_t result, const typename BasicJsonType::object_t::value_type & el) + { + return result += calc_bson_element_size(el.first, el.second); + }); + + return sizeof(std::int32_t) + document_size + 1ul; + } + + /*! + @param[in] value JSON value to serialize + @pre value.type() == value_t::object + */ + void write_bson_object(const typename BasicJsonType::object_t& value) + { + write_number(static_cast(calc_bson_object_size(value))); + + for (const auto& el : value) + { + write_bson_element(el.first, el.second); + } + + oa->write_character(to_char_type(0x00)); + } + + ////////// + // CBOR // + ////////// + + static constexpr CharType get_cbor_float_prefix(float /*unused*/) + { + return to_char_type(0xFA); // Single-Precision Float + } + + static constexpr CharType get_cbor_float_prefix(double /*unused*/) + { + return to_char_type(0xFB); // Double-Precision Float + } + + ///////////// + // MsgPack // + ///////////// + + static constexpr CharType get_msgpack_float_prefix(float /*unused*/) + { + return to_char_type(0xCA); // float 32 + } + + static constexpr CharType get_msgpack_float_prefix(double /*unused*/) + { + return to_char_type(0xCB); // float 64 + } + + //////////// + // UBJSON // + //////////// + + // UBJSON: write number (floating point) + template::value, int>::type = 0> + void write_number_with_ubjson_prefix(const NumberType n, + const bool add_prefix) + { + if (add_prefix) + { + oa->write_character(get_ubjson_float_prefix(n)); + } + write_number(n); + } + + // UBJSON: write number (unsigned integer) + template::value, int>::type = 0> + void write_number_with_ubjson_prefix(const NumberType n, + const bool add_prefix) + { + if (n <= static_cast((std::numeric_limits::max)())) + { + if (add_prefix) + { + oa->write_character(to_char_type('i')); // int8 + } + write_number(static_cast(n)); + } + else if (n <= (std::numeric_limits::max)()) + { + if (add_prefix) + { + oa->write_character(to_char_type('U')); // uint8 + } + write_number(static_cast(n)); + } + else if (n <= static_cast((std::numeric_limits::max)())) + { + if (add_prefix) + { + oa->write_character(to_char_type('I')); // int16 + } + write_number(static_cast(n)); + } + else if (n <= static_cast((std::numeric_limits::max)())) + { + if (add_prefix) + { + oa->write_character(to_char_type('l')); // int32 + } + write_number(static_cast(n)); + } + else if (n <= static_cast((std::numeric_limits::max)())) + { + if (add_prefix) + { + oa->write_character(to_char_type('L')); // int64 + } + write_number(static_cast(n)); + } + else + { + if (add_prefix) + { + oa->write_character(to_char_type('H')); // high-precision number + } + + const auto number = BasicJsonType(n).dump(); + write_number_with_ubjson_prefix(number.size(), true); + for (std::size_t i = 0; i < number.size(); ++i) + { + oa->write_character(to_char_type(static_cast(number[i]))); + } + } + } + + // UBJSON: write number (signed integer) + template < typename NumberType, typename std::enable_if < + std::is_signed::value&& + !std::is_floating_point::value, int >::type = 0 > + void write_number_with_ubjson_prefix(const NumberType n, + const bool add_prefix) + { + if ((std::numeric_limits::min)() <= n && n <= (std::numeric_limits::max)()) + { + if (add_prefix) + { + oa->write_character(to_char_type('i')); // int8 + } + write_number(static_cast(n)); + } + else if (static_cast((std::numeric_limits::min)()) <= n && n <= static_cast((std::numeric_limits::max)())) + { + if (add_prefix) + { + oa->write_character(to_char_type('U')); // uint8 + } + write_number(static_cast(n)); + } + else if ((std::numeric_limits::min)() <= n && n <= (std::numeric_limits::max)()) + { + if (add_prefix) + { + oa->write_character(to_char_type('I')); // int16 + } + write_number(static_cast(n)); + } + else if ((std::numeric_limits::min)() <= n && n <= (std::numeric_limits::max)()) + { + if (add_prefix) + { + oa->write_character(to_char_type('l')); // int32 + } + write_number(static_cast(n)); + } + else if ((std::numeric_limits::min)() <= n && n <= (std::numeric_limits::max)()) + { + if (add_prefix) + { + oa->write_character(to_char_type('L')); // int64 + } + write_number(static_cast(n)); + } + // LCOV_EXCL_START + else + { + if (add_prefix) + { + oa->write_character(to_char_type('H')); // high-precision number + } + + const auto number = BasicJsonType(n).dump(); + write_number_with_ubjson_prefix(number.size(), true); + for (std::size_t i = 0; i < number.size(); ++i) + { + oa->write_character(to_char_type(static_cast(number[i]))); + } + } + // LCOV_EXCL_STOP + } + + /*! + @brief determine the type prefix of container values + */ + CharType ubjson_prefix(const BasicJsonType& j) const noexcept + { + switch (j.type()) + { + case value_t::null: + return 'Z'; + + case value_t::boolean: + return j.m_value.boolean ? 'T' : 'F'; + + case value_t::number_integer: + { + if ((std::numeric_limits::min)() <= j.m_value.number_integer && j.m_value.number_integer <= (std::numeric_limits::max)()) + { + return 'i'; + } + if ((std::numeric_limits::min)() <= j.m_value.number_integer && j.m_value.number_integer <= (std::numeric_limits::max)()) + { + return 'U'; + } + if ((std::numeric_limits::min)() <= j.m_value.number_integer && j.m_value.number_integer <= (std::numeric_limits::max)()) + { + return 'I'; + } + if ((std::numeric_limits::min)() <= j.m_value.number_integer && j.m_value.number_integer <= (std::numeric_limits::max)()) + { + return 'l'; + } + if ((std::numeric_limits::min)() <= j.m_value.number_integer && j.m_value.number_integer <= (std::numeric_limits::max)()) + { + return 'L'; + } + // anything else is treated as high-precision number + return 'H'; // LCOV_EXCL_LINE + } + + case value_t::number_unsigned: + { + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + return 'i'; + } + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + return 'U'; + } + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + return 'I'; + } + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + return 'l'; + } + if (j.m_value.number_unsigned <= static_cast((std::numeric_limits::max)())) + { + return 'L'; + } + // anything else is treated as high-precision number + return 'H'; // LCOV_EXCL_LINE + } + + case value_t::number_float: + return get_ubjson_float_prefix(j.m_value.number_float); + + case value_t::string: + return 'S'; + + case value_t::array: // fallthrough + case value_t::binary: + return '['; + + case value_t::object: + return '{'; + + case value_t::discarded: + default: // discarded values + return 'N'; + } + } + + static constexpr CharType get_ubjson_float_prefix(float /*unused*/) + { + return 'd'; // float 32 + } + + static constexpr CharType get_ubjson_float_prefix(double /*unused*/) + { + return 'D'; // float 64 + } + + /////////////////////// + // Utility functions // + /////////////////////// + + /* + @brief write a number to output input + @param[in] n number of type @a NumberType + @tparam NumberType the type of the number + @tparam OutputIsLittleEndian Set to true if output data is + required to be little endian + + @note This function needs to respect the system's endianness, because bytes + in CBOR, MessagePack, and UBJSON are stored in network order (big + endian) and therefore need reordering on little endian systems. + */ + template + void write_number(const NumberType n) + { + // step 1: write number to array of length NumberType + std::array vec{}; + std::memcpy(vec.data(), &n, sizeof(NumberType)); + + // step 2: write array to output (with possible reordering) + if (is_little_endian != OutputIsLittleEndian) + { + // reverse byte order prior to conversion if necessary + std::reverse(vec.begin(), vec.end()); + } + + oa->write_characters(vec.data(), sizeof(NumberType)); + } + + void write_compact_float(const number_float_t n, detail::input_format_t format) + { +#ifdef __GNUC__ +#pragma GCC diagnostic push +#pragma GCC diagnostic ignored "-Wfloat-equal" +#endif + if (static_cast(n) >= static_cast(std::numeric_limits::lowest()) && + static_cast(n) <= static_cast((std::numeric_limits::max)()) && + static_cast(static_cast(n)) == static_cast(n)) + { + oa->write_character(format == detail::input_format_t::cbor + ? get_cbor_float_prefix(static_cast(n)) + : get_msgpack_float_prefix(static_cast(n))); + write_number(static_cast(n)); + } + else + { + oa->write_character(format == detail::input_format_t::cbor + ? get_cbor_float_prefix(n) + : get_msgpack_float_prefix(n)); + write_number(n); + } +#ifdef __GNUC__ +#pragma GCC diagnostic pop +#endif + } + + public: + // The following to_char_type functions are implement the conversion + // between uint8_t and CharType. In case CharType is not unsigned, + // such a conversion is required to allow values greater than 128. + // See for a discussion. + template < typename C = CharType, + enable_if_t < std::is_signed::value && std::is_signed::value > * = nullptr > + static constexpr CharType to_char_type(std::uint8_t x) noexcept + { + return *reinterpret_cast(&x); + } + + template < typename C = CharType, + enable_if_t < std::is_signed::value && std::is_unsigned::value > * = nullptr > + static CharType to_char_type(std::uint8_t x) noexcept + { + static_assert(sizeof(std::uint8_t) == sizeof(CharType), "size of CharType must be equal to std::uint8_t"); + static_assert(std::is_trivial::value, "CharType must be trivial"); + CharType result; + std::memcpy(&result, &x, sizeof(x)); + return result; + } + + template::value>* = nullptr> + static constexpr CharType to_char_type(std::uint8_t x) noexcept + { + return x; + } + + template < typename InputCharType, typename C = CharType, + enable_if_t < + std::is_signed::value && + std::is_signed::value && + std::is_same::type>::value + > * = nullptr > + static constexpr CharType to_char_type(InputCharType x) noexcept + { + return x; + } + + private: + /// whether we can assume little endianness + const bool is_little_endian = little_endianness(); + + /// the output + output_adapter_t oa = nullptr; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + + +#include // reverse, remove, fill, find, none_of +#include // array +#include // localeconv, lconv +#include // labs, isfinite, isnan, signbit +#include // size_t, ptrdiff_t +#include // uint8_t +#include // snprintf +#include // numeric_limits +#include // string, char_traits +#include // setfill, setw +#include // is_same +#include // move + +// #include + + +#include // array +#include // signbit, isfinite +#include // intN_t, uintN_t +#include // memcpy, memmove +#include // numeric_limits +#include // conditional + +// #include + + +namespace nlohmann +{ +namespace detail +{ + +/*! +@brief implements the Grisu2 algorithm for binary to decimal floating-point +conversion. + +This implementation is a slightly modified version of the reference +implementation which may be obtained from +http://florian.loitsch.com/publications (bench.tar.gz). + +The code is distributed under the MIT license, Copyright (c) 2009 Florian Loitsch. + +For a detailed description of the algorithm see: + +[1] Loitsch, "Printing Floating-Point Numbers Quickly and Accurately with + Integers", Proceedings of the ACM SIGPLAN 2010 Conference on Programming + Language Design and Implementation, PLDI 2010 +[2] Burger, Dybvig, "Printing Floating-Point Numbers Quickly and Accurately", + Proceedings of the ACM SIGPLAN 1996 Conference on Programming Language + Design and Implementation, PLDI 1996 +*/ +namespace dtoa_impl +{ + +template +Target reinterpret_bits(const Source source) +{ + static_assert(sizeof(Target) == sizeof(Source), "size mismatch"); + + Target target; + std::memcpy(&target, &source, sizeof(Source)); + return target; +} + +struct diyfp // f * 2^e +{ + static constexpr int kPrecision = 64; // = q + + std::uint64_t f = 0; + int e = 0; + + constexpr diyfp(std::uint64_t f_, int e_) noexcept : f(f_), e(e_) {} + + /*! + @brief returns x - y + @pre x.e == y.e and x.f >= y.f + */ + static diyfp sub(const diyfp& x, const diyfp& y) noexcept + { + JSON_ASSERT(x.e == y.e); + JSON_ASSERT(x.f >= y.f); + + return {x.f - y.f, x.e}; + } + + /*! + @brief returns x * y + @note The result is rounded. (Only the upper q bits are returned.) + */ + static diyfp mul(const diyfp& x, const diyfp& y) noexcept + { + static_assert(kPrecision == 64, "internal error"); + + // Computes: + // f = round((x.f * y.f) / 2^q) + // e = x.e + y.e + q + + // Emulate the 64-bit * 64-bit multiplication: + // + // p = u * v + // = (u_lo + 2^32 u_hi) (v_lo + 2^32 v_hi) + // = (u_lo v_lo ) + 2^32 ((u_lo v_hi ) + (u_hi v_lo )) + 2^64 (u_hi v_hi ) + // = (p0 ) + 2^32 ((p1 ) + (p2 )) + 2^64 (p3 ) + // = (p0_lo + 2^32 p0_hi) + 2^32 ((p1_lo + 2^32 p1_hi) + (p2_lo + 2^32 p2_hi)) + 2^64 (p3 ) + // = (p0_lo ) + 2^32 (p0_hi + p1_lo + p2_lo ) + 2^64 (p1_hi + p2_hi + p3) + // = (p0_lo ) + 2^32 (Q ) + 2^64 (H ) + // = (p0_lo ) + 2^32 (Q_lo + 2^32 Q_hi ) + 2^64 (H ) + // + // (Since Q might be larger than 2^32 - 1) + // + // = (p0_lo + 2^32 Q_lo) + 2^64 (Q_hi + H) + // + // (Q_hi + H does not overflow a 64-bit int) + // + // = p_lo + 2^64 p_hi + + const std::uint64_t u_lo = x.f & 0xFFFFFFFFu; + const std::uint64_t u_hi = x.f >> 32u; + const std::uint64_t v_lo = y.f & 0xFFFFFFFFu; + const std::uint64_t v_hi = y.f >> 32u; + + const std::uint64_t p0 = u_lo * v_lo; + const std::uint64_t p1 = u_lo * v_hi; + const std::uint64_t p2 = u_hi * v_lo; + const std::uint64_t p3 = u_hi * v_hi; + + const std::uint64_t p0_hi = p0 >> 32u; + const std::uint64_t p1_lo = p1 & 0xFFFFFFFFu; + const std::uint64_t p1_hi = p1 >> 32u; + const std::uint64_t p2_lo = p2 & 0xFFFFFFFFu; + const std::uint64_t p2_hi = p2 >> 32u; + + std::uint64_t Q = p0_hi + p1_lo + p2_lo; + + // The full product might now be computed as + // + // p_hi = p3 + p2_hi + p1_hi + (Q >> 32) + // p_lo = p0_lo + (Q << 32) + // + // But in this particular case here, the full p_lo is not required. + // Effectively we only need to add the highest bit in p_lo to p_hi (and + // Q_hi + 1 does not overflow). + + Q += std::uint64_t{1} << (64u - 32u - 1u); // round, ties up + + const std::uint64_t h = p3 + p2_hi + p1_hi + (Q >> 32u); + + return {h, x.e + y.e + 64}; + } + + /*! + @brief normalize x such that the significand is >= 2^(q-1) + @pre x.f != 0 + */ + static diyfp normalize(diyfp x) noexcept + { + JSON_ASSERT(x.f != 0); + + while ((x.f >> 63u) == 0) + { + x.f <<= 1u; + x.e--; + } + + return x; + } + + /*! + @brief normalize x such that the result has the exponent E + @pre e >= x.e and the upper e - x.e bits of x.f must be zero. + */ + static diyfp normalize_to(const diyfp& x, const int target_exponent) noexcept + { + const int delta = x.e - target_exponent; + + JSON_ASSERT(delta >= 0); + JSON_ASSERT(((x.f << delta) >> delta) == x.f); + + return {x.f << delta, target_exponent}; + } +}; + +struct boundaries +{ + diyfp w; + diyfp minus; + diyfp plus; +}; + +/*! +Compute the (normalized) diyfp representing the input number 'value' and its +boundaries. + +@pre value must be finite and positive +*/ +template +boundaries compute_boundaries(FloatType value) +{ + JSON_ASSERT(std::isfinite(value)); + JSON_ASSERT(value > 0); + + // Convert the IEEE representation into a diyfp. + // + // If v is denormal: + // value = 0.F * 2^(1 - bias) = ( F) * 2^(1 - bias - (p-1)) + // If v is normalized: + // value = 1.F * 2^(E - bias) = (2^(p-1) + F) * 2^(E - bias - (p-1)) + + static_assert(std::numeric_limits::is_iec559, + "internal error: dtoa_short requires an IEEE-754 floating-point implementation"); + + constexpr int kPrecision = std::numeric_limits::digits; // = p (includes the hidden bit) + constexpr int kBias = std::numeric_limits::max_exponent - 1 + (kPrecision - 1); + constexpr int kMinExp = 1 - kBias; + constexpr std::uint64_t kHiddenBit = std::uint64_t{1} << (kPrecision - 1); // = 2^(p-1) + + using bits_type = typename std::conditional::type; + + const auto bits = static_cast(reinterpret_bits(value)); + const std::uint64_t E = bits >> (kPrecision - 1); + const std::uint64_t F = bits & (kHiddenBit - 1); + + const bool is_denormal = E == 0; + const diyfp v = is_denormal + ? diyfp(F, kMinExp) + : diyfp(F + kHiddenBit, static_cast(E) - kBias); + + // Compute the boundaries m- and m+ of the floating-point value + // v = f * 2^e. + // + // Determine v- and v+, the floating-point predecessor and successor if v, + // respectively. + // + // v- = v - 2^e if f != 2^(p-1) or e == e_min (A) + // = v - 2^(e-1) if f == 2^(p-1) and e > e_min (B) + // + // v+ = v + 2^e + // + // Let m- = (v- + v) / 2 and m+ = (v + v+) / 2. All real numbers _strictly_ + // between m- and m+ round to v, regardless of how the input rounding + // algorithm breaks ties. + // + // ---+-------------+-------------+-------------+-------------+--- (A) + // v- m- v m+ v+ + // + // -----------------+------+------+-------------+-------------+--- (B) + // v- m- v m+ v+ + + const bool lower_boundary_is_closer = F == 0 && E > 1; + const diyfp m_plus = diyfp(2 * v.f + 1, v.e - 1); + const diyfp m_minus = lower_boundary_is_closer + ? diyfp(4 * v.f - 1, v.e - 2) // (B) + : diyfp(2 * v.f - 1, v.e - 1); // (A) + + // Determine the normalized w+ = m+. + const diyfp w_plus = diyfp::normalize(m_plus); + + // Determine w- = m- such that e_(w-) = e_(w+). + const diyfp w_minus = diyfp::normalize_to(m_minus, w_plus.e); + + return {diyfp::normalize(v), w_minus, w_plus}; +} + +// Given normalized diyfp w, Grisu needs to find a (normalized) cached +// power-of-ten c, such that the exponent of the product c * w = f * 2^e lies +// within a certain range [alpha, gamma] (Definition 3.2 from [1]) +// +// alpha <= e = e_c + e_w + q <= gamma +// +// or +// +// f_c * f_w * 2^alpha <= f_c 2^(e_c) * f_w 2^(e_w) * 2^q +// <= f_c * f_w * 2^gamma +// +// Since c and w are normalized, i.e. 2^(q-1) <= f < 2^q, this implies +// +// 2^(q-1) * 2^(q-1) * 2^alpha <= c * w * 2^q < 2^q * 2^q * 2^gamma +// +// or +// +// 2^(q - 2 + alpha) <= c * w < 2^(q + gamma) +// +// The choice of (alpha,gamma) determines the size of the table and the form of +// the digit generation procedure. Using (alpha,gamma)=(-60,-32) works out well +// in practice: +// +// The idea is to cut the number c * w = f * 2^e into two parts, which can be +// processed independently: An integral part p1, and a fractional part p2: +// +// f * 2^e = ( (f div 2^-e) * 2^-e + (f mod 2^-e) ) * 2^e +// = (f div 2^-e) + (f mod 2^-e) * 2^e +// = p1 + p2 * 2^e +// +// The conversion of p1 into decimal form requires a series of divisions and +// modulos by (a power of) 10. These operations are faster for 32-bit than for +// 64-bit integers, so p1 should ideally fit into a 32-bit integer. This can be +// achieved by choosing +// +// -e >= 32 or e <= -32 := gamma +// +// In order to convert the fractional part +// +// p2 * 2^e = p2 / 2^-e = d[-1] / 10^1 + d[-2] / 10^2 + ... +// +// into decimal form, the fraction is repeatedly multiplied by 10 and the digits +// d[-i] are extracted in order: +// +// (10 * p2) div 2^-e = d[-1] +// (10 * p2) mod 2^-e = d[-2] / 10^1 + ... +// +// The multiplication by 10 must not overflow. It is sufficient to choose +// +// 10 * p2 < 16 * p2 = 2^4 * p2 <= 2^64. +// +// Since p2 = f mod 2^-e < 2^-e, +// +// -e <= 60 or e >= -60 := alpha + +constexpr int kAlpha = -60; +constexpr int kGamma = -32; + +struct cached_power // c = f * 2^e ~= 10^k +{ + std::uint64_t f; + int e; + int k; +}; + +/*! +For a normalized diyfp w = f * 2^e, this function returns a (normalized) cached +power-of-ten c = f_c * 2^e_c, such that the exponent of the product w * c +satisfies (Definition 3.2 from [1]) + + alpha <= e_c + e + q <= gamma. +*/ +inline cached_power get_cached_power_for_binary_exponent(int e) +{ + // Now + // + // alpha <= e_c + e + q <= gamma (1) + // ==> f_c * 2^alpha <= c * 2^e * 2^q + // + // and since the c's are normalized, 2^(q-1) <= f_c, + // + // ==> 2^(q - 1 + alpha) <= c * 2^(e + q) + // ==> 2^(alpha - e - 1) <= c + // + // If c were an exact power of ten, i.e. c = 10^k, one may determine k as + // + // k = ceil( log_10( 2^(alpha - e - 1) ) ) + // = ceil( (alpha - e - 1) * log_10(2) ) + // + // From the paper: + // "In theory the result of the procedure could be wrong since c is rounded, + // and the computation itself is approximated [...]. In practice, however, + // this simple function is sufficient." + // + // For IEEE double precision floating-point numbers converted into + // normalized diyfp's w = f * 2^e, with q = 64, + // + // e >= -1022 (min IEEE exponent) + // -52 (p - 1) + // -52 (p - 1, possibly normalize denormal IEEE numbers) + // -11 (normalize the diyfp) + // = -1137 + // + // and + // + // e <= +1023 (max IEEE exponent) + // -52 (p - 1) + // -11 (normalize the diyfp) + // = 960 + // + // This binary exponent range [-1137,960] results in a decimal exponent + // range [-307,324]. One does not need to store a cached power for each + // k in this range. For each such k it suffices to find a cached power + // such that the exponent of the product lies in [alpha,gamma]. + // This implies that the difference of the decimal exponents of adjacent + // table entries must be less than or equal to + // + // floor( (gamma - alpha) * log_10(2) ) = 8. + // + // (A smaller distance gamma-alpha would require a larger table.) + + // NB: + // Actually this function returns c, such that -60 <= e_c + e + 64 <= -34. + + constexpr int kCachedPowersMinDecExp = -300; + constexpr int kCachedPowersDecStep = 8; + + static constexpr std::array kCachedPowers = + { + { + { 0xAB70FE17C79AC6CA, -1060, -300 }, + { 0xFF77B1FCBEBCDC4F, -1034, -292 }, + { 0xBE5691EF416BD60C, -1007, -284 }, + { 0x8DD01FAD907FFC3C, -980, -276 }, + { 0xD3515C2831559A83, -954, -268 }, + { 0x9D71AC8FADA6C9B5, -927, -260 }, + { 0xEA9C227723EE8BCB, -901, -252 }, + { 0xAECC49914078536D, -874, -244 }, + { 0x823C12795DB6CE57, -847, -236 }, + { 0xC21094364DFB5637, -821, -228 }, + { 0x9096EA6F3848984F, -794, -220 }, + { 0xD77485CB25823AC7, -768, -212 }, + { 0xA086CFCD97BF97F4, -741, -204 }, + { 0xEF340A98172AACE5, -715, -196 }, + { 0xB23867FB2A35B28E, -688, -188 }, + { 0x84C8D4DFD2C63F3B, -661, -180 }, + { 0xC5DD44271AD3CDBA, -635, -172 }, + { 0x936B9FCEBB25C996, -608, -164 }, + { 0xDBAC6C247D62A584, -582, -156 }, + { 0xA3AB66580D5FDAF6, -555, -148 }, + { 0xF3E2F893DEC3F126, -529, -140 }, + { 0xB5B5ADA8AAFF80B8, -502, -132 }, + { 0x87625F056C7C4A8B, -475, -124 }, + { 0xC9BCFF6034C13053, -449, -116 }, + { 0x964E858C91BA2655, -422, -108 }, + { 0xDFF9772470297EBD, -396, -100 }, + { 0xA6DFBD9FB8E5B88F, -369, -92 }, + { 0xF8A95FCF88747D94, -343, -84 }, + { 0xB94470938FA89BCF, -316, -76 }, + { 0x8A08F0F8BF0F156B, -289, -68 }, + { 0xCDB02555653131B6, -263, -60 }, + { 0x993FE2C6D07B7FAC, -236, -52 }, + { 0xE45C10C42A2B3B06, -210, -44 }, + { 0xAA242499697392D3, -183, -36 }, + { 0xFD87B5F28300CA0E, -157, -28 }, + { 0xBCE5086492111AEB, -130, -20 }, + { 0x8CBCCC096F5088CC, -103, -12 }, + { 0xD1B71758E219652C, -77, -4 }, + { 0x9C40000000000000, -50, 4 }, + { 0xE8D4A51000000000, -24, 12 }, + { 0xAD78EBC5AC620000, 3, 20 }, + { 0x813F3978F8940984, 30, 28 }, + { 0xC097CE7BC90715B3, 56, 36 }, + { 0x8F7E32CE7BEA5C70, 83, 44 }, + { 0xD5D238A4ABE98068, 109, 52 }, + { 0x9F4F2726179A2245, 136, 60 }, + { 0xED63A231D4C4FB27, 162, 68 }, + { 0xB0DE65388CC8ADA8, 189, 76 }, + { 0x83C7088E1AAB65DB, 216, 84 }, + { 0xC45D1DF942711D9A, 242, 92 }, + { 0x924D692CA61BE758, 269, 100 }, + { 0xDA01EE641A708DEA, 295, 108 }, + { 0xA26DA3999AEF774A, 322, 116 }, + { 0xF209787BB47D6B85, 348, 124 }, + { 0xB454E4A179DD1877, 375, 132 }, + { 0x865B86925B9BC5C2, 402, 140 }, + { 0xC83553C5C8965D3D, 428, 148 }, + { 0x952AB45CFA97A0B3, 455, 156 }, + { 0xDE469FBD99A05FE3, 481, 164 }, + { 0xA59BC234DB398C25, 508, 172 }, + { 0xF6C69A72A3989F5C, 534, 180 }, + { 0xB7DCBF5354E9BECE, 561, 188 }, + { 0x88FCF317F22241E2, 588, 196 }, + { 0xCC20CE9BD35C78A5, 614, 204 }, + { 0x98165AF37B2153DF, 641, 212 }, + { 0xE2A0B5DC971F303A, 667, 220 }, + { 0xA8D9D1535CE3B396, 694, 228 }, + { 0xFB9B7CD9A4A7443C, 720, 236 }, + { 0xBB764C4CA7A44410, 747, 244 }, + { 0x8BAB8EEFB6409C1A, 774, 252 }, + { 0xD01FEF10A657842C, 800, 260 }, + { 0x9B10A4E5E9913129, 827, 268 }, + { 0xE7109BFBA19C0C9D, 853, 276 }, + { 0xAC2820D9623BF429, 880, 284 }, + { 0x80444B5E7AA7CF85, 907, 292 }, + { 0xBF21E44003ACDD2D, 933, 300 }, + { 0x8E679C2F5E44FF8F, 960, 308 }, + { 0xD433179D9C8CB841, 986, 316 }, + { 0x9E19DB92B4E31BA9, 1013, 324 }, + } + }; + + // This computation gives exactly the same results for k as + // k = ceil((kAlpha - e - 1) * 0.30102999566398114) + // for |e| <= 1500, but doesn't require floating-point operations. + // NB: log_10(2) ~= 78913 / 2^18 + JSON_ASSERT(e >= -1500); + JSON_ASSERT(e <= 1500); + const int f = kAlpha - e - 1; + const int k = (f * 78913) / (1 << 18) + static_cast(f > 0); + + const int index = (-kCachedPowersMinDecExp + k + (kCachedPowersDecStep - 1)) / kCachedPowersDecStep; + JSON_ASSERT(index >= 0); + JSON_ASSERT(static_cast(index) < kCachedPowers.size()); + + const cached_power cached = kCachedPowers[static_cast(index)]; + JSON_ASSERT(kAlpha <= cached.e + e + 64); + JSON_ASSERT(kGamma >= cached.e + e + 64); + + return cached; +} + +/*! +For n != 0, returns k, such that pow10 := 10^(k-1) <= n < 10^k. +For n == 0, returns 1 and sets pow10 := 1. +*/ +inline int find_largest_pow10(const std::uint32_t n, std::uint32_t& pow10) +{ + // LCOV_EXCL_START + if (n >= 1000000000) + { + pow10 = 1000000000; + return 10; + } + // LCOV_EXCL_STOP + if (n >= 100000000) + { + pow10 = 100000000; + return 9; + } + if (n >= 10000000) + { + pow10 = 10000000; + return 8; + } + if (n >= 1000000) + { + pow10 = 1000000; + return 7; + } + if (n >= 100000) + { + pow10 = 100000; + return 6; + } + if (n >= 10000) + { + pow10 = 10000; + return 5; + } + if (n >= 1000) + { + pow10 = 1000; + return 4; + } + if (n >= 100) + { + pow10 = 100; + return 3; + } + if (n >= 10) + { + pow10 = 10; + return 2; + } + + pow10 = 1; + return 1; +} + +inline void grisu2_round(char* buf, int len, std::uint64_t dist, std::uint64_t delta, + std::uint64_t rest, std::uint64_t ten_k) +{ + JSON_ASSERT(len >= 1); + JSON_ASSERT(dist <= delta); + JSON_ASSERT(rest <= delta); + JSON_ASSERT(ten_k > 0); + + // <--------------------------- delta ----> + // <---- dist ---------> + // --------------[------------------+-------------------]-------------- + // M- w M+ + // + // ten_k + // <------> + // <---- rest ----> + // --------------[------------------+----+--------------]-------------- + // w V + // = buf * 10^k + // + // ten_k represents a unit-in-the-last-place in the decimal representation + // stored in buf. + // Decrement buf by ten_k while this takes buf closer to w. + + // The tests are written in this order to avoid overflow in unsigned + // integer arithmetic. + + while (rest < dist + && delta - rest >= ten_k + && (rest + ten_k < dist || dist - rest > rest + ten_k - dist)) + { + JSON_ASSERT(buf[len - 1] != '0'); + buf[len - 1]--; + rest += ten_k; + } +} + +/*! +Generates V = buffer * 10^decimal_exponent, such that M- <= V <= M+. +M- and M+ must be normalized and share the same exponent -60 <= e <= -32. +*/ +inline void grisu2_digit_gen(char* buffer, int& length, int& decimal_exponent, + diyfp M_minus, diyfp w, diyfp M_plus) +{ + static_assert(kAlpha >= -60, "internal error"); + static_assert(kGamma <= -32, "internal error"); + + // Generates the digits (and the exponent) of a decimal floating-point + // number V = buffer * 10^decimal_exponent in the range [M-, M+]. The diyfp's + // w, M- and M+ share the same exponent e, which satisfies alpha <= e <= gamma. + // + // <--------------------------- delta ----> + // <---- dist ---------> + // --------------[------------------+-------------------]-------------- + // M- w M+ + // + // Grisu2 generates the digits of M+ from left to right and stops as soon as + // V is in [M-,M+]. + + JSON_ASSERT(M_plus.e >= kAlpha); + JSON_ASSERT(M_plus.e <= kGamma); + + std::uint64_t delta = diyfp::sub(M_plus, M_minus).f; // (significand of (M+ - M-), implicit exponent is e) + std::uint64_t dist = diyfp::sub(M_plus, w ).f; // (significand of (M+ - w ), implicit exponent is e) + + // Split M+ = f * 2^e into two parts p1 and p2 (note: e < 0): + // + // M+ = f * 2^e + // = ((f div 2^-e) * 2^-e + (f mod 2^-e)) * 2^e + // = ((p1 ) * 2^-e + (p2 )) * 2^e + // = p1 + p2 * 2^e + + const diyfp one(std::uint64_t{1} << -M_plus.e, M_plus.e); + + auto p1 = static_cast(M_plus.f >> -one.e); // p1 = f div 2^-e (Since -e >= 32, p1 fits into a 32-bit int.) + std::uint64_t p2 = M_plus.f & (one.f - 1); // p2 = f mod 2^-e + + // 1) + // + // Generate the digits of the integral part p1 = d[n-1]...d[1]d[0] + + JSON_ASSERT(p1 > 0); + + std::uint32_t pow10{}; + const int k = find_largest_pow10(p1, pow10); + + // 10^(k-1) <= p1 < 10^k, pow10 = 10^(k-1) + // + // p1 = (p1 div 10^(k-1)) * 10^(k-1) + (p1 mod 10^(k-1)) + // = (d[k-1] ) * 10^(k-1) + (p1 mod 10^(k-1)) + // + // M+ = p1 + p2 * 2^e + // = d[k-1] * 10^(k-1) + (p1 mod 10^(k-1)) + p2 * 2^e + // = d[k-1] * 10^(k-1) + ((p1 mod 10^(k-1)) * 2^-e + p2) * 2^e + // = d[k-1] * 10^(k-1) + ( rest) * 2^e + // + // Now generate the digits d[n] of p1 from left to right (n = k-1,...,0) + // + // p1 = d[k-1]...d[n] * 10^n + d[n-1]...d[0] + // + // but stop as soon as + // + // rest * 2^e = (d[n-1]...d[0] * 2^-e + p2) * 2^e <= delta * 2^e + + int n = k; + while (n > 0) + { + // Invariants: + // M+ = buffer * 10^n + (p1 + p2 * 2^e) (buffer = 0 for n = k) + // pow10 = 10^(n-1) <= p1 < 10^n + // + const std::uint32_t d = p1 / pow10; // d = p1 div 10^(n-1) + const std::uint32_t r = p1 % pow10; // r = p1 mod 10^(n-1) + // + // M+ = buffer * 10^n + (d * 10^(n-1) + r) + p2 * 2^e + // = (buffer * 10 + d) * 10^(n-1) + (r + p2 * 2^e) + // + JSON_ASSERT(d <= 9); + buffer[length++] = static_cast('0' + d); // buffer := buffer * 10 + d + // + // M+ = buffer * 10^(n-1) + (r + p2 * 2^e) + // + p1 = r; + n--; + // + // M+ = buffer * 10^n + (p1 + p2 * 2^e) + // pow10 = 10^n + // + + // Now check if enough digits have been generated. + // Compute + // + // p1 + p2 * 2^e = (p1 * 2^-e + p2) * 2^e = rest * 2^e + // + // Note: + // Since rest and delta share the same exponent e, it suffices to + // compare the significands. + const std::uint64_t rest = (std::uint64_t{p1} << -one.e) + p2; + if (rest <= delta) + { + // V = buffer * 10^n, with M- <= V <= M+. + + decimal_exponent += n; + + // We may now just stop. But instead look if the buffer could be + // decremented to bring V closer to w. + // + // pow10 = 10^n is now 1 ulp in the decimal representation V. + // The rounding procedure works with diyfp's with an implicit + // exponent of e. + // + // 10^n = (10^n * 2^-e) * 2^e = ulp * 2^e + // + const std::uint64_t ten_n = std::uint64_t{pow10} << -one.e; + grisu2_round(buffer, length, dist, delta, rest, ten_n); + + return; + } + + pow10 /= 10; + // + // pow10 = 10^(n-1) <= p1 < 10^n + // Invariants restored. + } + + // 2) + // + // The digits of the integral part have been generated: + // + // M+ = d[k-1]...d[1]d[0] + p2 * 2^e + // = buffer + p2 * 2^e + // + // Now generate the digits of the fractional part p2 * 2^e. + // + // Note: + // No decimal point is generated: the exponent is adjusted instead. + // + // p2 actually represents the fraction + // + // p2 * 2^e + // = p2 / 2^-e + // = d[-1] / 10^1 + d[-2] / 10^2 + ... + // + // Now generate the digits d[-m] of p1 from left to right (m = 1,2,...) + // + // p2 * 2^e = d[-1]d[-2]...d[-m] * 10^-m + // + 10^-m * (d[-m-1] / 10^1 + d[-m-2] / 10^2 + ...) + // + // using + // + // 10^m * p2 = ((10^m * p2) div 2^-e) * 2^-e + ((10^m * p2) mod 2^-e) + // = ( d) * 2^-e + ( r) + // + // or + // 10^m * p2 * 2^e = d + r * 2^e + // + // i.e. + // + // M+ = buffer + p2 * 2^e + // = buffer + 10^-m * (d + r * 2^e) + // = (buffer * 10^m + d) * 10^-m + 10^-m * r * 2^e + // + // and stop as soon as 10^-m * r * 2^e <= delta * 2^e + + JSON_ASSERT(p2 > delta); + + int m = 0; + for (;;) + { + // Invariant: + // M+ = buffer * 10^-m + 10^-m * (d[-m-1] / 10 + d[-m-2] / 10^2 + ...) * 2^e + // = buffer * 10^-m + 10^-m * (p2 ) * 2^e + // = buffer * 10^-m + 10^-m * (1/10 * (10 * p2) ) * 2^e + // = buffer * 10^-m + 10^-m * (1/10 * ((10*p2 div 2^-e) * 2^-e + (10*p2 mod 2^-e)) * 2^e + // + JSON_ASSERT(p2 <= (std::numeric_limits::max)() / 10); + p2 *= 10; + const std::uint64_t d = p2 >> -one.e; // d = (10 * p2) div 2^-e + const std::uint64_t r = p2 & (one.f - 1); // r = (10 * p2) mod 2^-e + // + // M+ = buffer * 10^-m + 10^-m * (1/10 * (d * 2^-e + r) * 2^e + // = buffer * 10^-m + 10^-m * (1/10 * (d + r * 2^e)) + // = (buffer * 10 + d) * 10^(-m-1) + 10^(-m-1) * r * 2^e + // + JSON_ASSERT(d <= 9); + buffer[length++] = static_cast('0' + d); // buffer := buffer * 10 + d + // + // M+ = buffer * 10^(-m-1) + 10^(-m-1) * r * 2^e + // + p2 = r; + m++; + // + // M+ = buffer * 10^-m + 10^-m * p2 * 2^e + // Invariant restored. + + // Check if enough digits have been generated. + // + // 10^-m * p2 * 2^e <= delta * 2^e + // p2 * 2^e <= 10^m * delta * 2^e + // p2 <= 10^m * delta + delta *= 10; + dist *= 10; + if (p2 <= delta) + { + break; + } + } + + // V = buffer * 10^-m, with M- <= V <= M+. + + decimal_exponent -= m; + + // 1 ulp in the decimal representation is now 10^-m. + // Since delta and dist are now scaled by 10^m, we need to do the + // same with ulp in order to keep the units in sync. + // + // 10^m * 10^-m = 1 = 2^-e * 2^e = ten_m * 2^e + // + const std::uint64_t ten_m = one.f; + grisu2_round(buffer, length, dist, delta, p2, ten_m); + + // By construction this algorithm generates the shortest possible decimal + // number (Loitsch, Theorem 6.2) which rounds back to w. + // For an input number of precision p, at least + // + // N = 1 + ceil(p * log_10(2)) + // + // decimal digits are sufficient to identify all binary floating-point + // numbers (Matula, "In-and-Out conversions"). + // This implies that the algorithm does not produce more than N decimal + // digits. + // + // N = 17 for p = 53 (IEEE double precision) + // N = 9 for p = 24 (IEEE single precision) +} + +/*! +v = buf * 10^decimal_exponent +len is the length of the buffer (number of decimal digits) +The buffer must be large enough, i.e. >= max_digits10. +*/ +JSON_HEDLEY_NON_NULL(1) +inline void grisu2(char* buf, int& len, int& decimal_exponent, + diyfp m_minus, diyfp v, diyfp m_plus) +{ + JSON_ASSERT(m_plus.e == m_minus.e); + JSON_ASSERT(m_plus.e == v.e); + + // --------(-----------------------+-----------------------)-------- (A) + // m- v m+ + // + // --------------------(-----------+-----------------------)-------- (B) + // m- v m+ + // + // First scale v (and m- and m+) such that the exponent is in the range + // [alpha, gamma]. + + const cached_power cached = get_cached_power_for_binary_exponent(m_plus.e); + + const diyfp c_minus_k(cached.f, cached.e); // = c ~= 10^-k + + // The exponent of the products is = v.e + c_minus_k.e + q and is in the range [alpha,gamma] + const diyfp w = diyfp::mul(v, c_minus_k); + const diyfp w_minus = diyfp::mul(m_minus, c_minus_k); + const diyfp w_plus = diyfp::mul(m_plus, c_minus_k); + + // ----(---+---)---------------(---+---)---------------(---+---)---- + // w- w w+ + // = c*m- = c*v = c*m+ + // + // diyfp::mul rounds its result and c_minus_k is approximated too. w, w- and + // w+ are now off by a small amount. + // In fact: + // + // w - v * 10^k < 1 ulp + // + // To account for this inaccuracy, add resp. subtract 1 ulp. + // + // --------+---[---------------(---+---)---------------]---+-------- + // w- M- w M+ w+ + // + // Now any number in [M-, M+] (bounds included) will round to w when input, + // regardless of how the input rounding algorithm breaks ties. + // + // And digit_gen generates the shortest possible such number in [M-, M+]. + // Note that this does not mean that Grisu2 always generates the shortest + // possible number in the interval (m-, m+). + const diyfp M_minus(w_minus.f + 1, w_minus.e); + const diyfp M_plus (w_plus.f - 1, w_plus.e ); + + decimal_exponent = -cached.k; // = -(-k) = k + + grisu2_digit_gen(buf, len, decimal_exponent, M_minus, w, M_plus); +} + +/*! +v = buf * 10^decimal_exponent +len is the length of the buffer (number of decimal digits) +The buffer must be large enough, i.e. >= max_digits10. +*/ +template +JSON_HEDLEY_NON_NULL(1) +void grisu2(char* buf, int& len, int& decimal_exponent, FloatType value) +{ + static_assert(diyfp::kPrecision >= std::numeric_limits::digits + 3, + "internal error: not enough precision"); + + JSON_ASSERT(std::isfinite(value)); + JSON_ASSERT(value > 0); + + // If the neighbors (and boundaries) of 'value' are always computed for double-precision + // numbers, all float's can be recovered using strtod (and strtof). However, the resulting + // decimal representations are not exactly "short". + // + // The documentation for 'std::to_chars' (https://en.cppreference.com/w/cpp/utility/to_chars) + // says "value is converted to a string as if by std::sprintf in the default ("C") locale" + // and since sprintf promotes floats to doubles, I think this is exactly what 'std::to_chars' + // does. + // On the other hand, the documentation for 'std::to_chars' requires that "parsing the + // representation using the corresponding std::from_chars function recovers value exactly". That + // indicates that single precision floating-point numbers should be recovered using + // 'std::strtof'. + // + // NB: If the neighbors are computed for single-precision numbers, there is a single float + // (7.0385307e-26f) which can't be recovered using strtod. The resulting double precision + // value is off by 1 ulp. +#if 0 + const boundaries w = compute_boundaries(static_cast(value)); +#else + const boundaries w = compute_boundaries(value); +#endif + + grisu2(buf, len, decimal_exponent, w.minus, w.w, w.plus); +} + +/*! +@brief appends a decimal representation of e to buf +@return a pointer to the element following the exponent. +@pre -1000 < e < 1000 +*/ +JSON_HEDLEY_NON_NULL(1) +JSON_HEDLEY_RETURNS_NON_NULL +inline char* append_exponent(char* buf, int e) +{ + JSON_ASSERT(e > -1000); + JSON_ASSERT(e < 1000); + + if (e < 0) + { + e = -e; + *buf++ = '-'; + } + else + { + *buf++ = '+'; + } + + auto k = static_cast(e); + if (k < 10) + { + // Always print at least two digits in the exponent. + // This is for compatibility with printf("%g"). + *buf++ = '0'; + *buf++ = static_cast('0' + k); + } + else if (k < 100) + { + *buf++ = static_cast('0' + k / 10); + k %= 10; + *buf++ = static_cast('0' + k); + } + else + { + *buf++ = static_cast('0' + k / 100); + k %= 100; + *buf++ = static_cast('0' + k / 10); + k %= 10; + *buf++ = static_cast('0' + k); + } + + return buf; +} + +/*! +@brief prettify v = buf * 10^decimal_exponent + +If v is in the range [10^min_exp, 10^max_exp) it will be printed in fixed-point +notation. Otherwise it will be printed in exponential notation. + +@pre min_exp < 0 +@pre max_exp > 0 +*/ +JSON_HEDLEY_NON_NULL(1) +JSON_HEDLEY_RETURNS_NON_NULL +inline char* format_buffer(char* buf, int len, int decimal_exponent, + int min_exp, int max_exp) +{ + JSON_ASSERT(min_exp < 0); + JSON_ASSERT(max_exp > 0); + + const int k = len; + const int n = len + decimal_exponent; + + // v = buf * 10^(n-k) + // k is the length of the buffer (number of decimal digits) + // n is the position of the decimal point relative to the start of the buffer. + + if (k <= n && n <= max_exp) + { + // digits[000] + // len <= max_exp + 2 + + std::memset(buf + k, '0', static_cast(n) - static_cast(k)); + // Make it look like a floating-point number (#362, #378) + buf[n + 0] = '.'; + buf[n + 1] = '0'; + return buf + (static_cast(n) + 2); + } + + if (0 < n && n <= max_exp) + { + // dig.its + // len <= max_digits10 + 1 + + JSON_ASSERT(k > n); + + std::memmove(buf + (static_cast(n) + 1), buf + n, static_cast(k) - static_cast(n)); + buf[n] = '.'; + return buf + (static_cast(k) + 1U); + } + + if (min_exp < n && n <= 0) + { + // 0.[000]digits + // len <= 2 + (-min_exp - 1) + max_digits10 + + std::memmove(buf + (2 + static_cast(-n)), buf, static_cast(k)); + buf[0] = '0'; + buf[1] = '.'; + std::memset(buf + 2, '0', static_cast(-n)); + return buf + (2U + static_cast(-n) + static_cast(k)); + } + + if (k == 1) + { + // dE+123 + // len <= 1 + 5 + + buf += 1; + } + else + { + // d.igitsE+123 + // len <= max_digits10 + 1 + 5 + + std::memmove(buf + 2, buf + 1, static_cast(k) - 1); + buf[1] = '.'; + buf += 1 + static_cast(k); + } + + *buf++ = 'e'; + return append_exponent(buf, n - 1); +} + +} // namespace dtoa_impl + +/*! +@brief generates a decimal representation of the floating-point number value in [first, last). + +The format of the resulting decimal representation is similar to printf's %g +format. Returns an iterator pointing past-the-end of the decimal representation. + +@note The input number must be finite, i.e. NaN's and Inf's are not supported. +@note The buffer must be large enough. +@note The result is NOT null-terminated. +*/ +template +JSON_HEDLEY_NON_NULL(1, 2) +JSON_HEDLEY_RETURNS_NON_NULL +char* to_chars(char* first, const char* last, FloatType value) +{ + static_cast(last); // maybe unused - fix warning + JSON_ASSERT(std::isfinite(value)); + + // Use signbit(value) instead of (value < 0) since signbit works for -0. + if (std::signbit(value)) + { + value = -value; + *first++ = '-'; + } + +#ifdef __GNUC__ +#pragma GCC diagnostic push +#pragma GCC diagnostic ignored "-Wfloat-equal" +#endif + if (value == 0) // +-0 + { + *first++ = '0'; + // Make it look like a floating-point number (#362, #378) + *first++ = '.'; + *first++ = '0'; + return first; + } +#ifdef __GNUC__ +#pragma GCC diagnostic pop +#endif + + JSON_ASSERT(last - first >= std::numeric_limits::max_digits10); + + // Compute v = buffer * 10^decimal_exponent. + // The decimal digits are stored in the buffer, which needs to be interpreted + // as an unsigned decimal integer. + // len is the length of the buffer, i.e. the number of decimal digits. + int len = 0; + int decimal_exponent = 0; + dtoa_impl::grisu2(first, len, decimal_exponent, value); + + JSON_ASSERT(len <= std::numeric_limits::max_digits10); + + // Format the buffer like printf("%.*g", prec, value) + constexpr int kMinExp = -4; + // Use digits10 here to increase compatibility with version 2. + constexpr int kMaxExp = std::numeric_limits::digits10; + + JSON_ASSERT(last - first >= kMaxExp + 2); + JSON_ASSERT(last - first >= 2 + (-kMinExp - 1) + std::numeric_limits::max_digits10); + JSON_ASSERT(last - first >= std::numeric_limits::max_digits10 + 6); + + return dtoa_impl::format_buffer(first, len, decimal_exponent, kMinExp, kMaxExp); +} + +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + +// #include + +// #include + +// #include + +// #include + + +namespace nlohmann +{ +namespace detail +{ +/////////////////// +// serialization // +/////////////////// + +/// how to treat decoding errors +enum class error_handler_t +{ + strict, ///< throw a type_error exception in case of invalid UTF-8 + replace, ///< replace invalid UTF-8 sequences with U+FFFD + ignore ///< ignore invalid UTF-8 sequences +}; + +template +class serializer +{ + using string_t = typename BasicJsonType::string_t; + using number_float_t = typename BasicJsonType::number_float_t; + using number_integer_t = typename BasicJsonType::number_integer_t; + using number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using binary_char_t = typename BasicJsonType::binary_t::value_type; + static constexpr std::uint8_t UTF8_ACCEPT = 0; + static constexpr std::uint8_t UTF8_REJECT = 1; + + public: + /*! + @param[in] s output stream to serialize to + @param[in] ichar indentation character to use + @param[in] error_handler_ how to react on decoding errors + */ + serializer(output_adapter_t s, const char ichar, + error_handler_t error_handler_ = error_handler_t::strict) + : o(std::move(s)) + , loc(std::localeconv()) + , thousands_sep(loc->thousands_sep == nullptr ? '\0' : std::char_traits::to_char_type(* (loc->thousands_sep))) + , decimal_point(loc->decimal_point == nullptr ? '\0' : std::char_traits::to_char_type(* (loc->decimal_point))) + , indent_char(ichar) + , indent_string(512, indent_char) + , error_handler(error_handler_) + {} + + // delete because of pointer members + serializer(const serializer&) = delete; + serializer& operator=(const serializer&) = delete; + serializer(serializer&&) = delete; + serializer& operator=(serializer&&) = delete; + ~serializer() = default; + + /*! + @brief internal implementation of the serialization function + + This function is called by the public member function dump and organizes + the serialization internally. The indentation level is propagated as + additional parameter. In case of arrays and objects, the function is + called recursively. + + - strings and object keys are escaped using `escape_string()` + - integer numbers are converted implicitly via `operator<<` + - floating-point numbers are converted to a string using `"%g"` format + - binary values are serialized as objects containing the subtype and the + byte array + + @param[in] val value to serialize + @param[in] pretty_print whether the output shall be pretty-printed + @param[in] ensure_ascii If @a ensure_ascii is true, all non-ASCII characters + in the output are escaped with `\uXXXX` sequences, and the result consists + of ASCII characters only. + @param[in] indent_step the indent level + @param[in] current_indent the current indent level (only used internally) + */ + void dump(const BasicJsonType& val, + const bool pretty_print, + const bool ensure_ascii, + const unsigned int indent_step, + const unsigned int current_indent = 0) + { + switch (val.m_type) + { + case value_t::object: + { + if (val.m_value.object->empty()) + { + o->write_characters("{}", 2); + return; + } + + if (pretty_print) + { + o->write_characters("{\n", 2); + + // variable to hold indentation for recursive calls + const auto new_indent = current_indent + indent_step; + if (JSON_HEDLEY_UNLIKELY(indent_string.size() < new_indent)) + { + indent_string.resize(indent_string.size() * 2, ' '); + } + + // first n-1 elements + auto i = val.m_value.object->cbegin(); + for (std::size_t cnt = 0; cnt < val.m_value.object->size() - 1; ++cnt, ++i) + { + o->write_characters(indent_string.c_str(), new_indent); + o->write_character('\"'); + dump_escaped(i->first, ensure_ascii); + o->write_characters("\": ", 3); + dump(i->second, true, ensure_ascii, indent_step, new_indent); + o->write_characters(",\n", 2); + } + + // last element + JSON_ASSERT(i != val.m_value.object->cend()); + JSON_ASSERT(std::next(i) == val.m_value.object->cend()); + o->write_characters(indent_string.c_str(), new_indent); + o->write_character('\"'); + dump_escaped(i->first, ensure_ascii); + o->write_characters("\": ", 3); + dump(i->second, true, ensure_ascii, indent_step, new_indent); + + o->write_character('\n'); + o->write_characters(indent_string.c_str(), current_indent); + o->write_character('}'); + } + else + { + o->write_character('{'); + + // first n-1 elements + auto i = val.m_value.object->cbegin(); + for (std::size_t cnt = 0; cnt < val.m_value.object->size() - 1; ++cnt, ++i) + { + o->write_character('\"'); + dump_escaped(i->first, ensure_ascii); + o->write_characters("\":", 2); + dump(i->second, false, ensure_ascii, indent_step, current_indent); + o->write_character(','); + } + + // last element + JSON_ASSERT(i != val.m_value.object->cend()); + JSON_ASSERT(std::next(i) == val.m_value.object->cend()); + o->write_character('\"'); + dump_escaped(i->first, ensure_ascii); + o->write_characters("\":", 2); + dump(i->second, false, ensure_ascii, indent_step, current_indent); + + o->write_character('}'); + } + + return; + } + + case value_t::array: + { + if (val.m_value.array->empty()) + { + o->write_characters("[]", 2); + return; + } + + if (pretty_print) + { + o->write_characters("[\n", 2); + + // variable to hold indentation for recursive calls + const auto new_indent = current_indent + indent_step; + if (JSON_HEDLEY_UNLIKELY(indent_string.size() < new_indent)) + { + indent_string.resize(indent_string.size() * 2, ' '); + } + + // first n-1 elements + for (auto i = val.m_value.array->cbegin(); + i != val.m_value.array->cend() - 1; ++i) + { + o->write_characters(indent_string.c_str(), new_indent); + dump(*i, true, ensure_ascii, indent_step, new_indent); + o->write_characters(",\n", 2); + } + + // last element + JSON_ASSERT(!val.m_value.array->empty()); + o->write_characters(indent_string.c_str(), new_indent); + dump(val.m_value.array->back(), true, ensure_ascii, indent_step, new_indent); + + o->write_character('\n'); + o->write_characters(indent_string.c_str(), current_indent); + o->write_character(']'); + } + else + { + o->write_character('['); + + // first n-1 elements + for (auto i = val.m_value.array->cbegin(); + i != val.m_value.array->cend() - 1; ++i) + { + dump(*i, false, ensure_ascii, indent_step, current_indent); + o->write_character(','); + } + + // last element + JSON_ASSERT(!val.m_value.array->empty()); + dump(val.m_value.array->back(), false, ensure_ascii, indent_step, current_indent); + + o->write_character(']'); + } + + return; + } + + case value_t::string: + { + o->write_character('\"'); + dump_escaped(*val.m_value.string, ensure_ascii); + o->write_character('\"'); + return; + } + + case value_t::binary: + { + if (pretty_print) + { + o->write_characters("{\n", 2); + + // variable to hold indentation for recursive calls + const auto new_indent = current_indent + indent_step; + if (JSON_HEDLEY_UNLIKELY(indent_string.size() < new_indent)) + { + indent_string.resize(indent_string.size() * 2, ' '); + } + + o->write_characters(indent_string.c_str(), new_indent); + + o->write_characters("\"bytes\": [", 10); + + if (!val.m_value.binary->empty()) + { + for (auto i = val.m_value.binary->cbegin(); + i != val.m_value.binary->cend() - 1; ++i) + { + dump_integer(*i); + o->write_characters(", ", 2); + } + dump_integer(val.m_value.binary->back()); + } + + o->write_characters("],\n", 3); + o->write_characters(indent_string.c_str(), new_indent); + + o->write_characters("\"subtype\": ", 11); + if (val.m_value.binary->has_subtype()) + { + dump_integer(val.m_value.binary->subtype()); + } + else + { + o->write_characters("null", 4); + } + o->write_character('\n'); + o->write_characters(indent_string.c_str(), current_indent); + o->write_character('}'); + } + else + { + o->write_characters("{\"bytes\":[", 10); + + if (!val.m_value.binary->empty()) + { + for (auto i = val.m_value.binary->cbegin(); + i != val.m_value.binary->cend() - 1; ++i) + { + dump_integer(*i); + o->write_character(','); + } + dump_integer(val.m_value.binary->back()); + } + + o->write_characters("],\"subtype\":", 12); + if (val.m_value.binary->has_subtype()) + { + dump_integer(val.m_value.binary->subtype()); + o->write_character('}'); + } + else + { + o->write_characters("null}", 5); + } + } + return; + } + + case value_t::boolean: + { + if (val.m_value.boolean) + { + o->write_characters("true", 4); + } + else + { + o->write_characters("false", 5); + } + return; + } + + case value_t::number_integer: + { + dump_integer(val.m_value.number_integer); + return; + } + + case value_t::number_unsigned: + { + dump_integer(val.m_value.number_unsigned); + return; + } + + case value_t::number_float: + { + dump_float(val.m_value.number_float); + return; + } + + case value_t::discarded: + { + o->write_characters("", 11); + return; + } + + case value_t::null: + { + o->write_characters("null", 4); + return; + } + + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + } + + JSON_PRIVATE_UNLESS_TESTED: + /*! + @brief dump escaped string + + Escape a string by replacing certain special characters by a sequence of an + escape character (backslash) and another character and other control + characters by a sequence of "\u" followed by a four-digit hex + representation. The escaped string is written to output stream @a o. + + @param[in] s the string to escape + @param[in] ensure_ascii whether to escape non-ASCII characters with + \uXXXX sequences + + @complexity Linear in the length of string @a s. + */ + void dump_escaped(const string_t& s, const bool ensure_ascii) + { + std::uint32_t codepoint{}; + std::uint8_t state = UTF8_ACCEPT; + std::size_t bytes = 0; // number of bytes written to string_buffer + + // number of bytes written at the point of the last valid byte + std::size_t bytes_after_last_accept = 0; + std::size_t undumped_chars = 0; + + for (std::size_t i = 0; i < s.size(); ++i) + { + const auto byte = static_cast(s[i]); + + switch (decode(state, codepoint, byte)) + { + case UTF8_ACCEPT: // decode found a new code point + { + switch (codepoint) + { + case 0x08: // backspace + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 'b'; + break; + } + + case 0x09: // horizontal tab + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 't'; + break; + } + + case 0x0A: // newline + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 'n'; + break; + } + + case 0x0C: // formfeed + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 'f'; + break; + } + + case 0x0D: // carriage return + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 'r'; + break; + } + + case 0x22: // quotation mark + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = '\"'; + break; + } + + case 0x5C: // reverse solidus + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = '\\'; + break; + } + + default: + { + // escape control characters (0x00..0x1F) or, if + // ensure_ascii parameter is used, non-ASCII characters + if ((codepoint <= 0x1F) || (ensure_ascii && (codepoint >= 0x7F))) + { + if (codepoint <= 0xFFFF) + { + // NOLINTNEXTLINE(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + static_cast((std::snprintf)(string_buffer.data() + bytes, 7, "\\u%04x", + static_cast(codepoint))); + bytes += 6; + } + else + { + // NOLINTNEXTLINE(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + static_cast((std::snprintf)(string_buffer.data() + bytes, 13, "\\u%04x\\u%04x", + static_cast(0xD7C0u + (codepoint >> 10u)), + static_cast(0xDC00u + (codepoint & 0x3FFu)))); + bytes += 12; + } + } + else + { + // copy byte to buffer (all previous bytes + // been copied have in default case above) + string_buffer[bytes++] = s[i]; + } + break; + } + } + + // write buffer and reset index; there must be 13 bytes + // left, as this is the maximal number of bytes to be + // written ("\uxxxx\uxxxx\0") for one code point + if (string_buffer.size() - bytes < 13) + { + o->write_characters(string_buffer.data(), bytes); + bytes = 0; + } + + // remember the byte position of this accept + bytes_after_last_accept = bytes; + undumped_chars = 0; + break; + } + + case UTF8_REJECT: // decode found invalid UTF-8 byte + { + switch (error_handler) + { + case error_handler_t::strict: + { + JSON_THROW(type_error::create(316, "invalid UTF-8 byte at index " + std::to_string(i) + ": 0x" + hex_bytes(byte | 0), BasicJsonType())); + } + + case error_handler_t::ignore: + case error_handler_t::replace: + { + // in case we saw this character the first time, we + // would like to read it again, because the byte + // may be OK for itself, but just not OK for the + // previous sequence + if (undumped_chars > 0) + { + --i; + } + + // reset length buffer to the last accepted index; + // thus removing/ignoring the invalid characters + bytes = bytes_after_last_accept; + + if (error_handler == error_handler_t::replace) + { + // add a replacement character + if (ensure_ascii) + { + string_buffer[bytes++] = '\\'; + string_buffer[bytes++] = 'u'; + string_buffer[bytes++] = 'f'; + string_buffer[bytes++] = 'f'; + string_buffer[bytes++] = 'f'; + string_buffer[bytes++] = 'd'; + } + else + { + string_buffer[bytes++] = detail::binary_writer::to_char_type('\xEF'); + string_buffer[bytes++] = detail::binary_writer::to_char_type('\xBF'); + string_buffer[bytes++] = detail::binary_writer::to_char_type('\xBD'); + } + + // write buffer and reset index; there must be 13 bytes + // left, as this is the maximal number of bytes to be + // written ("\uxxxx\uxxxx\0") for one code point + if (string_buffer.size() - bytes < 13) + { + o->write_characters(string_buffer.data(), bytes); + bytes = 0; + } + + bytes_after_last_accept = bytes; + } + + undumped_chars = 0; + + // continue processing the string + state = UTF8_ACCEPT; + break; + } + + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + break; + } + + default: // decode found yet incomplete multi-byte code point + { + if (!ensure_ascii) + { + // code point will not be escaped - copy byte to buffer + string_buffer[bytes++] = s[i]; + } + ++undumped_chars; + break; + } + } + } + + // we finished processing the string + if (JSON_HEDLEY_LIKELY(state == UTF8_ACCEPT)) + { + // write buffer + if (bytes > 0) + { + o->write_characters(string_buffer.data(), bytes); + } + } + else + { + // we finish reading, but do not accept: string was incomplete + switch (error_handler) + { + case error_handler_t::strict: + { + JSON_THROW(type_error::create(316, "incomplete UTF-8 string; last byte: 0x" + hex_bytes(static_cast(s.back() | 0)), BasicJsonType())); + } + + case error_handler_t::ignore: + { + // write all accepted bytes + o->write_characters(string_buffer.data(), bytes_after_last_accept); + break; + } + + case error_handler_t::replace: + { + // write all accepted bytes + o->write_characters(string_buffer.data(), bytes_after_last_accept); + // add a replacement character + if (ensure_ascii) + { + o->write_characters("\\ufffd", 6); + } + else + { + o->write_characters("\xEF\xBF\xBD", 3); + } + break; + } + + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + } + } + + private: + /*! + @brief count digits + + Count the number of decimal (base 10) digits for an input unsigned integer. + + @param[in] x unsigned integer number to count its digits + @return number of decimal digits + */ + inline unsigned int count_digits(number_unsigned_t x) noexcept + { + unsigned int n_digits = 1; + for (;;) + { + if (x < 10) + { + return n_digits; + } + if (x < 100) + { + return n_digits + 1; + } + if (x < 1000) + { + return n_digits + 2; + } + if (x < 10000) + { + return n_digits + 3; + } + x = x / 10000u; + n_digits += 4; + } + } + + /*! + * @brief convert a byte to a uppercase hex representation + * @param[in] byte byte to represent + * @return representation ("00".."FF") + */ + static std::string hex_bytes(std::uint8_t byte) + { + std::string result = "FF"; + constexpr const char* nibble_to_hex = "0123456789ABCDEF"; + result[0] = nibble_to_hex[byte / 16]; + result[1] = nibble_to_hex[byte % 16]; + return result; + } + + // templates to avoid warnings about useless casts + template ::value, int> = 0> + bool is_negative_number(NumberType x) + { + return x < 0; + } + + template < typename NumberType, enable_if_t ::value, int > = 0 > + bool is_negative_number(NumberType /*unused*/) + { + return false; + } + + /*! + @brief dump an integer + + Dump a given integer to output stream @a o. Works internally with + @a number_buffer. + + @param[in] x integer number (signed or unsigned) to dump + @tparam NumberType either @a number_integer_t or @a number_unsigned_t + */ + template < typename NumberType, detail::enable_if_t < + std::is_integral::value || + std::is_same::value || + std::is_same::value || + std::is_same::value, + int > = 0 > + void dump_integer(NumberType x) + { + static constexpr std::array, 100> digits_to_99 + { + { + {{'0', '0'}}, {{'0', '1'}}, {{'0', '2'}}, {{'0', '3'}}, {{'0', '4'}}, {{'0', '5'}}, {{'0', '6'}}, {{'0', '7'}}, {{'0', '8'}}, {{'0', '9'}}, + {{'1', '0'}}, {{'1', '1'}}, {{'1', '2'}}, {{'1', '3'}}, {{'1', '4'}}, {{'1', '5'}}, {{'1', '6'}}, {{'1', '7'}}, {{'1', '8'}}, {{'1', '9'}}, + {{'2', '0'}}, {{'2', '1'}}, {{'2', '2'}}, {{'2', '3'}}, {{'2', '4'}}, {{'2', '5'}}, {{'2', '6'}}, {{'2', '7'}}, {{'2', '8'}}, {{'2', '9'}}, + {{'3', '0'}}, {{'3', '1'}}, {{'3', '2'}}, {{'3', '3'}}, {{'3', '4'}}, {{'3', '5'}}, {{'3', '6'}}, {{'3', '7'}}, {{'3', '8'}}, {{'3', '9'}}, + {{'4', '0'}}, {{'4', '1'}}, {{'4', '2'}}, {{'4', '3'}}, {{'4', '4'}}, {{'4', '5'}}, {{'4', '6'}}, {{'4', '7'}}, {{'4', '8'}}, {{'4', '9'}}, + {{'5', '0'}}, {{'5', '1'}}, {{'5', '2'}}, {{'5', '3'}}, {{'5', '4'}}, {{'5', '5'}}, {{'5', '6'}}, {{'5', '7'}}, {{'5', '8'}}, {{'5', '9'}}, + {{'6', '0'}}, {{'6', '1'}}, {{'6', '2'}}, {{'6', '3'}}, {{'6', '4'}}, {{'6', '5'}}, {{'6', '6'}}, {{'6', '7'}}, {{'6', '8'}}, {{'6', '9'}}, + {{'7', '0'}}, {{'7', '1'}}, {{'7', '2'}}, {{'7', '3'}}, {{'7', '4'}}, {{'7', '5'}}, {{'7', '6'}}, {{'7', '7'}}, {{'7', '8'}}, {{'7', '9'}}, + {{'8', '0'}}, {{'8', '1'}}, {{'8', '2'}}, {{'8', '3'}}, {{'8', '4'}}, {{'8', '5'}}, {{'8', '6'}}, {{'8', '7'}}, {{'8', '8'}}, {{'8', '9'}}, + {{'9', '0'}}, {{'9', '1'}}, {{'9', '2'}}, {{'9', '3'}}, {{'9', '4'}}, {{'9', '5'}}, {{'9', '6'}}, {{'9', '7'}}, {{'9', '8'}}, {{'9', '9'}}, + } + }; + + // special case for "0" + if (x == 0) + { + o->write_character('0'); + return; + } + + // use a pointer to fill the buffer + auto buffer_ptr = number_buffer.begin(); // NOLINT(llvm-qualified-auto,readability-qualified-auto,cppcoreguidelines-pro-type-vararg,hicpp-vararg) + + number_unsigned_t abs_value; + + unsigned int n_chars{}; + + if (is_negative_number(x)) + { + *buffer_ptr = '-'; + abs_value = remove_sign(static_cast(x)); + + // account one more byte for the minus sign + n_chars = 1 + count_digits(abs_value); + } + else + { + abs_value = static_cast(x); + n_chars = count_digits(abs_value); + } + + // spare 1 byte for '\0' + JSON_ASSERT(n_chars < number_buffer.size() - 1); + + // jump to the end to generate the string from backward, + // so we later avoid reversing the result + buffer_ptr += n_chars; + + // Fast int2ascii implementation inspired by "Fastware" talk by Andrei Alexandrescu + // See: https://www.youtube.com/watch?v=o4-CwDo2zpg + while (abs_value >= 100) + { + const auto digits_index = static_cast((abs_value % 100)); + abs_value /= 100; + *(--buffer_ptr) = digits_to_99[digits_index][1]; + *(--buffer_ptr) = digits_to_99[digits_index][0]; + } + + if (abs_value >= 10) + { + const auto digits_index = static_cast(abs_value); + *(--buffer_ptr) = digits_to_99[digits_index][1]; + *(--buffer_ptr) = digits_to_99[digits_index][0]; + } + else + { + *(--buffer_ptr) = static_cast('0' + abs_value); + } + + o->write_characters(number_buffer.data(), n_chars); + } + + /*! + @brief dump a floating-point number + + Dump a given floating-point number to output stream @a o. Works internally + with @a number_buffer. + + @param[in] x floating-point number to dump + */ + void dump_float(number_float_t x) + { + // NaN / inf + if (!std::isfinite(x)) + { + o->write_characters("null", 4); + return; + } + + // If number_float_t is an IEEE-754 single or double precision number, + // use the Grisu2 algorithm to produce short numbers which are + // guaranteed to round-trip, using strtof and strtod, resp. + // + // NB: The test below works if == . + static constexpr bool is_ieee_single_or_double + = (std::numeric_limits::is_iec559 && std::numeric_limits::digits == 24 && std::numeric_limits::max_exponent == 128) || + (std::numeric_limits::is_iec559 && std::numeric_limits::digits == 53 && std::numeric_limits::max_exponent == 1024); + + dump_float(x, std::integral_constant()); + } + + void dump_float(number_float_t x, std::true_type /*is_ieee_single_or_double*/) + { + auto* begin = number_buffer.data(); + auto* end = ::nlohmann::detail::to_chars(begin, begin + number_buffer.size(), x); + + o->write_characters(begin, static_cast(end - begin)); + } + + void dump_float(number_float_t x, std::false_type /*is_ieee_single_or_double*/) + { + // get number of digits for a float -> text -> float round-trip + static constexpr auto d = std::numeric_limits::max_digits10; + + // the actual conversion + // NOLINTNEXTLINE(cppcoreguidelines-pro-type-vararg,hicpp-vararg) + std::ptrdiff_t len = (std::snprintf)(number_buffer.data(), number_buffer.size(), "%.*g", d, x); + + // negative value indicates an error + JSON_ASSERT(len > 0); + // check if buffer was large enough + JSON_ASSERT(static_cast(len) < number_buffer.size()); + + // erase thousands separator + if (thousands_sep != '\0') + { + // NOLINTNEXTLINE(readability-qualified-auto,llvm-qualified-auto): std::remove returns an iterator, see https://github.com/nlohmann/json/issues/3081 + const auto end = std::remove(number_buffer.begin(), number_buffer.begin() + len, thousands_sep); + std::fill(end, number_buffer.end(), '\0'); + JSON_ASSERT((end - number_buffer.begin()) <= len); + len = (end - number_buffer.begin()); + } + + // convert decimal point to '.' + if (decimal_point != '\0' && decimal_point != '.') + { + // NOLINTNEXTLINE(readability-qualified-auto,llvm-qualified-auto): std::find returns an iterator, see https://github.com/nlohmann/json/issues/3081 + const auto dec_pos = std::find(number_buffer.begin(), number_buffer.end(), decimal_point); + if (dec_pos != number_buffer.end()) + { + *dec_pos = '.'; + } + } + + o->write_characters(number_buffer.data(), static_cast(len)); + + // determine if we need to append ".0" + const bool value_is_int_like = + std::none_of(number_buffer.begin(), number_buffer.begin() + len + 1, + [](char c) + { + return c == '.' || c == 'e'; + }); + + if (value_is_int_like) + { + o->write_characters(".0", 2); + } + } + + /*! + @brief check whether a string is UTF-8 encoded + + The function checks each byte of a string whether it is UTF-8 encoded. The + result of the check is stored in the @a state parameter. The function must + be called initially with state 0 (accept). State 1 means the string must + be rejected, because the current byte is not allowed. If the string is + completely processed, but the state is non-zero, the string ended + prematurely; that is, the last byte indicated more bytes should have + followed. + + @param[in,out] state the state of the decoding + @param[in,out] codep codepoint (valid only if resulting state is UTF8_ACCEPT) + @param[in] byte next byte to decode + @return new state + + @note The function has been edited: a std::array is used. + + @copyright Copyright (c) 2008-2009 Bjoern Hoehrmann + @sa http://bjoern.hoehrmann.de/utf-8/decoder/dfa/ + */ + static std::uint8_t decode(std::uint8_t& state, std::uint32_t& codep, const std::uint8_t byte) noexcept + { + static const std::array utf8d = + { + { + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 00..1F + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 20..3F + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 40..5F + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 60..7F + 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, // 80..9F + 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, 7, // A0..BF + 8, 8, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, // C0..DF + 0xA, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x3, 0x4, 0x3, 0x3, // E0..EF + 0xB, 0x6, 0x6, 0x6, 0x5, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, 0x8, // F0..FF + 0x0, 0x1, 0x2, 0x3, 0x5, 0x8, 0x7, 0x1, 0x1, 0x1, 0x4, 0x6, 0x1, 0x1, 0x1, 0x1, // s0..s0 + 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 0, 1, 0, 1, 1, 1, 1, 1, 1, // s1..s2 + 1, 2, 1, 1, 1, 1, 1, 2, 1, 2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 2, 1, 1, 1, 1, 1, 1, 1, 1, // s3..s4 + 1, 2, 1, 1, 1, 1, 1, 1, 1, 2, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 3, 1, 3, 1, 1, 1, 1, 1, 1, // s5..s6 + 1, 3, 1, 1, 1, 1, 1, 3, 1, 3, 1, 1, 1, 1, 1, 1, 1, 3, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1 // s7..s8 + } + }; + + JSON_ASSERT(byte < utf8d.size()); + const std::uint8_t type = utf8d[byte]; + + codep = (state != UTF8_ACCEPT) + ? (byte & 0x3fu) | (codep << 6u) + : (0xFFu >> type) & (byte); + + std::size_t index = 256u + static_cast(state) * 16u + static_cast(type); + JSON_ASSERT(index < 400); + state = utf8d[index]; + return state; + } + + /* + * Overload to make the compiler happy while it is instantiating + * dump_integer for number_unsigned_t. + * Must never be called. + */ + number_unsigned_t remove_sign(number_unsigned_t x) + { + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + return x; // LCOV_EXCL_LINE + } + + /* + * Helper function for dump_integer + * + * This function takes a negative signed integer and returns its absolute + * value as unsigned integer. The plus/minus shuffling is necessary as we can + * not directly remove the sign of an arbitrary signed integer as the + * absolute values of INT_MIN and INT_MAX are usually not the same. See + * #1708 for details. + */ + inline number_unsigned_t remove_sign(number_integer_t x) noexcept + { + JSON_ASSERT(x < 0 && x < (std::numeric_limits::max)()); // NOLINT(misc-redundant-expression) + return static_cast(-(x + 1)) + 1; + } + + private: + /// the output of the serializer + output_adapter_t o = nullptr; + + /// a (hopefully) large enough character buffer + std::array number_buffer{{}}; + + /// the locale + const std::lconv* loc = nullptr; + /// the locale's thousand separator character + const char thousands_sep = '\0'; + /// the locale's decimal point character + const char decimal_point = '\0'; + + /// string buffer + std::array string_buffer{{}}; + + /// the indentation character + const char indent_char; + /// the indentation string + string_t indent_string; + + /// error_handler how to react on decoding errors + const error_handler_t error_handler; +}; +} // namespace detail +} // namespace nlohmann + +// #include + +// #include + +// #include + + +#include // less +#include // initializer_list +#include // input_iterator_tag, iterator_traits +#include // allocator +#include // for out_of_range +#include // enable_if, is_convertible +#include // pair +#include // vector + +// #include + + +namespace nlohmann +{ + +/// ordered_map: a minimal map-like container that preserves insertion order +/// for use within nlohmann::basic_json +template , + class Allocator = std::allocator>> + struct ordered_map : std::vector, Allocator> +{ + using key_type = Key; + using mapped_type = T; + using Container = std::vector, Allocator>; + using iterator = typename Container::iterator; + using const_iterator = typename Container::const_iterator; + using size_type = typename Container::size_type; + using value_type = typename Container::value_type; + + // Explicit constructors instead of `using Container::Container` + // otherwise older compilers choke on it (GCC <= 5.5, xcode <= 9.4) + ordered_map() noexcept(noexcept(Container())) : Container{} {} + explicit ordered_map(const Allocator& alloc) noexcept(noexcept(Container(alloc))) : Container{alloc} {} + template + ordered_map(It first, It last, const Allocator& alloc = Allocator()) + : Container{first, last, alloc} {} + ordered_map(std::initializer_list init, const Allocator& alloc = Allocator() ) + : Container{init, alloc} {} + + std::pair emplace(const key_type& key, T&& t) + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return {it, false}; + } + } + Container::emplace_back(key, t); + return {--this->end(), true}; + } + + T& operator[](const Key& key) + { + return emplace(key, T{}).first->second; + } + + const T& operator[](const Key& key) const + { + return at(key); + } + + T& at(const Key& key) + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return it->second; + } + } + + JSON_THROW(std::out_of_range("key not found")); + } + + const T& at(const Key& key) const + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return it->second; + } + } + + JSON_THROW(std::out_of_range("key not found")); + } + + size_type erase(const Key& key) + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + // Since we cannot move const Keys, re-construct them in place + for (auto next = it; ++next != this->end(); ++it) + { + it->~value_type(); // Destroy but keep allocation + new (&*it) value_type{std::move(*next)}; + } + Container::pop_back(); + return 1; + } + } + return 0; + } + + iterator erase(iterator pos) + { + return erase(pos, std::next(pos)); + } + + iterator erase(iterator first, iterator last) + { + const auto elements_affected = std::distance(first, last); + const auto offset = std::distance(Container::begin(), first); + + // This is the start situation. We need to delete elements_affected + // elements (3 in this example: e, f, g), and need to return an + // iterator past the last deleted element (h in this example). + // Note that offset is the distance from the start of the vector + // to first. We will need this later. + + // [ a, b, c, d, e, f, g, h, i, j ] + // ^ ^ + // first last + + // Since we cannot move const Keys, we re-construct them in place. + // We start at first and re-construct (viz. copy) the elements from + // the back of the vector. Example for first iteration: + + // ,--------. + // v | destroy e and re-construct with h + // [ a, b, c, d, e, f, g, h, i, j ] + // ^ ^ + // it it + elements_affected + + for (auto it = first; std::next(it, elements_affected) != Container::end(); ++it) + { + it->~value_type(); // destroy but keep allocation + new (&*it) value_type{std::move(*std::next(it, elements_affected))}; // "move" next element to it + } + + // [ a, b, c, d, h, i, j, h, i, j ] + // ^ ^ + // first last + + // remove the unneeded elements at the end of the vector + Container::resize(this->size() - static_cast(elements_affected)); + + // [ a, b, c, d, h, i, j ] + // ^ ^ + // first last + + // first is now pointing past the last deleted element, but we cannot + // use this iterator, because it may have been invalidated by the + // resize call. Instead, we can return begin() + offset. + return Container::begin() + offset; + } + + size_type count(const Key& key) const + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return 1; + } + } + return 0; + } + + iterator find(const Key& key) + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return it; + } + } + return Container::end(); + } + + const_iterator find(const Key& key) const + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == key) + { + return it; + } + } + return Container::end(); + } + + std::pair insert( value_type&& value ) + { + return emplace(value.first, std::move(value.second)); + } + + std::pair insert( const value_type& value ) + { + for (auto it = this->begin(); it != this->end(); ++it) + { + if (it->first == value.first) + { + return {it, false}; + } + } + Container::push_back(value); + return {--this->end(), true}; + } + + template + using require_input_iter = typename std::enable_if::iterator_category, + std::input_iterator_tag>::value>::type; + + template> + void insert(InputIt first, InputIt last) + { + for (auto it = first; it != last; ++it) + { + insert(*it); + } + } +}; + +} // namespace nlohmann + + +#if defined(JSON_HAS_CPP_17) + #include +#endif + +/*! +@brief namespace for Niels Lohmann +@see https://github.com/nlohmann +@since version 1.0.0 +*/ +namespace nlohmann +{ + +/*! +@brief a class to store JSON values + +@internal +@invariant The member variables @a m_value and @a m_type have the following +relationship: +- If `m_type == value_t::object`, then `m_value.object != nullptr`. +- If `m_type == value_t::array`, then `m_value.array != nullptr`. +- If `m_type == value_t::string`, then `m_value.string != nullptr`. +The invariants are checked by member function assert_invariant(). + +@note ObjectType trick from https://stackoverflow.com/a/9860911 +@endinternal + +@since version 1.0.0 + +@nosubgrouping +*/ +NLOHMANN_BASIC_JSON_TPL_DECLARATION +class basic_json // NOLINT(cppcoreguidelines-special-member-functions,hicpp-special-member-functions) +{ + private: + template friend struct detail::external_constructor; + friend ::nlohmann::json_pointer; + + template + friend class ::nlohmann::detail::parser; + friend ::nlohmann::detail::serializer; + template + friend class ::nlohmann::detail::iter_impl; + template + friend class ::nlohmann::detail::binary_writer; + template + friend class ::nlohmann::detail::binary_reader; + template + friend class ::nlohmann::detail::json_sax_dom_parser; + template + friend class ::nlohmann::detail::json_sax_dom_callback_parser; + friend class ::nlohmann::detail::exception; + + /// workaround type for MSVC + using basic_json_t = NLOHMANN_BASIC_JSON_TPL; + + JSON_PRIVATE_UNLESS_TESTED: + // convenience aliases for types residing in namespace detail; + using lexer = ::nlohmann::detail::lexer_base; + + template + static ::nlohmann::detail::parser parser( + InputAdapterType adapter, + detail::parser_callback_tcb = nullptr, + const bool allow_exceptions = true, + const bool ignore_comments = false + ) + { + return ::nlohmann::detail::parser(std::move(adapter), + std::move(cb), allow_exceptions, ignore_comments); + } + + private: + using primitive_iterator_t = ::nlohmann::detail::primitive_iterator_t; + template + using internal_iterator = ::nlohmann::detail::internal_iterator; + template + using iter_impl = ::nlohmann::detail::iter_impl; + template + using iteration_proxy = ::nlohmann::detail::iteration_proxy; + template using json_reverse_iterator = ::nlohmann::detail::json_reverse_iterator; + + template + using output_adapter_t = ::nlohmann::detail::output_adapter_t; + + template + using binary_reader = ::nlohmann::detail::binary_reader; + template using binary_writer = ::nlohmann::detail::binary_writer; + + JSON_PRIVATE_UNLESS_TESTED: + using serializer = ::nlohmann::detail::serializer; + + public: + using value_t = detail::value_t; + /// JSON Pointer, see @ref nlohmann::json_pointer + using json_pointer = ::nlohmann::json_pointer; + template + using json_serializer = JSONSerializer; + /// how to treat decoding errors + using error_handler_t = detail::error_handler_t; + /// how to treat CBOR tags + using cbor_tag_handler_t = detail::cbor_tag_handler_t; + /// helper type for initializer lists of basic_json values + using initializer_list_t = std::initializer_list>; + + using input_format_t = detail::input_format_t; + /// SAX interface type, see @ref nlohmann::json_sax + using json_sax_t = json_sax; + + //////////////// + // exceptions // + //////////////// + + /// @name exceptions + /// Classes to implement user-defined exceptions. + /// @{ + + using exception = detail::exception; + using parse_error = detail::parse_error; + using invalid_iterator = detail::invalid_iterator; + using type_error = detail::type_error; + using out_of_range = detail::out_of_range; + using other_error = detail::other_error; + + /// @} + + + ///////////////////// + // container types // + ///////////////////// + + /// @name container types + /// The canonic container types to use @ref basic_json like any other STL + /// container. + /// @{ + + /// the type of elements in a basic_json container + using value_type = basic_json; + + /// the type of an element reference + using reference = value_type&; + /// the type of an element const reference + using const_reference = const value_type&; + + /// a type to represent differences between iterators + using difference_type = std::ptrdiff_t; + /// a type to represent container sizes + using size_type = std::size_t; + + /// the allocator type + using allocator_type = AllocatorType; + + /// the type of an element pointer + using pointer = typename std::allocator_traits::pointer; + /// the type of an element const pointer + using const_pointer = typename std::allocator_traits::const_pointer; + + /// an iterator for a basic_json container + using iterator = iter_impl; + /// a const iterator for a basic_json container + using const_iterator = iter_impl; + /// a reverse iterator for a basic_json container + using reverse_iterator = json_reverse_iterator; + /// a const reverse iterator for a basic_json container + using const_reverse_iterator = json_reverse_iterator; + + /// @} + + + /// @brief returns the allocator associated with the container + /// @sa https://json.nlohmann.me/api/basic_json/get_allocator/ + static allocator_type get_allocator() + { + return allocator_type(); + } + + /// @brief returns version information on the library + /// @sa https://json.nlohmann.me/api/basic_json/meta/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json meta() + { + basic_json result; + + result["copyright"] = "(C) 2013-2022 Niels Lohmann"; + result["name"] = "JSON for Modern C++"; + result["url"] = "https://github.com/nlohmann/json"; + result["version"]["string"] = + std::to_string(NLOHMANN_JSON_VERSION_MAJOR) + "." + + std::to_string(NLOHMANN_JSON_VERSION_MINOR) + "." + + std::to_string(NLOHMANN_JSON_VERSION_PATCH); + result["version"]["major"] = NLOHMANN_JSON_VERSION_MAJOR; + result["version"]["minor"] = NLOHMANN_JSON_VERSION_MINOR; + result["version"]["patch"] = NLOHMANN_JSON_VERSION_PATCH; + +#ifdef _WIN32 + result["platform"] = "win32"; +#elif defined __linux__ + result["platform"] = "linux"; +#elif defined __APPLE__ + result["platform"] = "apple"; +#elif defined __unix__ + result["platform"] = "unix"; +#else + result["platform"] = "unknown"; +#endif + +#if defined(__ICC) || defined(__INTEL_COMPILER) + result["compiler"] = {{"family", "icc"}, {"version", __INTEL_COMPILER}}; +#elif defined(__clang__) + result["compiler"] = {{"family", "clang"}, {"version", __clang_version__}}; +#elif defined(__GNUC__) || defined(__GNUG__) + result["compiler"] = {{"family", "gcc"}, {"version", std::to_string(__GNUC__) + "." + std::to_string(__GNUC_MINOR__) + "." + std::to_string(__GNUC_PATCHLEVEL__)}}; +#elif defined(__HP_cc) || defined(__HP_aCC) + result["compiler"] = "hp" +#elif defined(__IBMCPP__) + result["compiler"] = {{"family", "ilecpp"}, {"version", __IBMCPP__}}; +#elif defined(_MSC_VER) + result["compiler"] = {{"family", "msvc"}, {"version", _MSC_VER}}; +#elif defined(__PGI) + result["compiler"] = {{"family", "pgcpp"}, {"version", __PGI}}; +#elif defined(__SUNPRO_CC) + result["compiler"] = {{"family", "sunpro"}, {"version", __SUNPRO_CC}}; +#else + result["compiler"] = {{"family", "unknown"}, {"version", "unknown"}}; +#endif + +#ifdef __cplusplus + result["compiler"]["c++"] = std::to_string(__cplusplus); +#else + result["compiler"]["c++"] = "unknown"; +#endif + return result; + } + + + /////////////////////////// + // JSON value data types // + /////////////////////////// + + /// @name JSON value data types + /// The data types to store a JSON value. These types are derived from + /// the template arguments passed to class @ref basic_json. + /// @{ + + /// @brief object key comparator type + /// @sa https://json.nlohmann.me/api/basic_json/object_comparator_t/ +#if defined(JSON_HAS_CPP_14) + // Use transparent comparator if possible, combined with perfect forwarding + // on find() and count() calls prevents unnecessary string construction. + using object_comparator_t = std::less<>; +#else + using object_comparator_t = std::less; +#endif + + /// @brief a type for an object + /// @sa https://json.nlohmann.me/api/basic_json/object_t/ + using object_t = ObjectType>>; + + /// @brief a type for an array + /// @sa https://json.nlohmann.me/api/basic_json/array_t/ + using array_t = ArrayType>; + + /// @brief a type for a string + /// @sa https://json.nlohmann.me/api/basic_json/string_t/ + using string_t = StringType; + + /// @brief a type for a boolean + /// @sa https://json.nlohmann.me/api/basic_json/boolean_t/ + using boolean_t = BooleanType; + + /// @brief a type for a number (integer) + /// @sa https://json.nlohmann.me/api/basic_json/number_integer_t/ + using number_integer_t = NumberIntegerType; + + /// @brief a type for a number (unsigned) + /// @sa https://json.nlohmann.me/api/basic_json/number_unsigned_t/ + using number_unsigned_t = NumberUnsignedType; + + /// @brief a type for a number (floating-point) + /// @sa https://json.nlohmann.me/api/basic_json/number_float_t/ + using number_float_t = NumberFloatType; + + /// @brief a type for a packed binary type + /// @sa https://json.nlohmann.me/api/basic_json/binary_t/ + using binary_t = nlohmann::byte_container_with_subtype; + + /// @} + + private: + + /// helper for exception-safe object creation + template + JSON_HEDLEY_RETURNS_NON_NULL + static T* create(Args&& ... args) + { + AllocatorType alloc; + using AllocatorTraits = std::allocator_traits>; + + auto deleter = [&](T * obj) + { + AllocatorTraits::deallocate(alloc, obj, 1); + }; + std::unique_ptr obj(AllocatorTraits::allocate(alloc, 1), deleter); + AllocatorTraits::construct(alloc, obj.get(), std::forward(args)...); + JSON_ASSERT(obj != nullptr); + return obj.release(); + } + + //////////////////////// + // JSON value storage // + //////////////////////// + + JSON_PRIVATE_UNLESS_TESTED: + /*! + @brief a JSON value + + The actual storage for a JSON value of the @ref basic_json class. This + union combines the different storage types for the JSON value types + defined in @ref value_t. + + JSON type | value_t type | used type + --------- | --------------- | ------------------------ + object | object | pointer to @ref object_t + array | array | pointer to @ref array_t + string | string | pointer to @ref string_t + boolean | boolean | @ref boolean_t + number | number_integer | @ref number_integer_t + number | number_unsigned | @ref number_unsigned_t + number | number_float | @ref number_float_t + binary | binary | pointer to @ref binary_t + null | null | *no value is stored* + + @note Variable-length types (objects, arrays, and strings) are stored as + pointers. The size of the union should not exceed 64 bits if the default + value types are used. + + @since version 1.0.0 + */ + union json_value + { + /// object (stored with pointer to save storage) + object_t* object; + /// array (stored with pointer to save storage) + array_t* array; + /// string (stored with pointer to save storage) + string_t* string; + /// binary (stored with pointer to save storage) + binary_t* binary; + /// boolean + boolean_t boolean; + /// number (integer) + number_integer_t number_integer; + /// number (unsigned integer) + number_unsigned_t number_unsigned; + /// number (floating-point) + number_float_t number_float; + + /// default constructor (for null values) + json_value() = default; + /// constructor for booleans + json_value(boolean_t v) noexcept : boolean(v) {} + /// constructor for numbers (integer) + json_value(number_integer_t v) noexcept : number_integer(v) {} + /// constructor for numbers (unsigned) + json_value(number_unsigned_t v) noexcept : number_unsigned(v) {} + /// constructor for numbers (floating-point) + json_value(number_float_t v) noexcept : number_float(v) {} + /// constructor for empty values of a given type + json_value(value_t t) + { + switch (t) + { + case value_t::object: + { + object = create(); + break; + } + + case value_t::array: + { + array = create(); + break; + } + + case value_t::string: + { + string = create(""); + break; + } + + case value_t::binary: + { + binary = create(); + break; + } + + case value_t::boolean: + { + boolean = static_cast(false); + break; + } + + case value_t::number_integer: + { + number_integer = static_cast(0); + break; + } + + case value_t::number_unsigned: + { + number_unsigned = static_cast(0); + break; + } + + case value_t::number_float: + { + number_float = static_cast(0.0); + break; + } + + case value_t::null: + { + object = nullptr; // silence warning, see #821 + break; + } + + case value_t::discarded: + default: + { + object = nullptr; // silence warning, see #821 + if (JSON_HEDLEY_UNLIKELY(t == value_t::null)) + { + JSON_THROW(other_error::create(500, "961c151d2e87f2686a955a9be24d316f1362bf21 3.10.5", basic_json())); // LCOV_EXCL_LINE + } + break; + } + } + } + + /// constructor for strings + json_value(const string_t& value) : string(create(value)) {} + + /// constructor for rvalue strings + json_value(string_t&& value) : string(create(std::move(value))) {} + + /// constructor for objects + json_value(const object_t& value) : object(create(value)) {} + + /// constructor for rvalue objects + json_value(object_t&& value) : object(create(std::move(value))) {} + + /// constructor for arrays + json_value(const array_t& value) : array(create(value)) {} + + /// constructor for rvalue arrays + json_value(array_t&& value) : array(create(std::move(value))) {} + + /// constructor for binary arrays + json_value(const typename binary_t::container_type& value) : binary(create(value)) {} + + /// constructor for rvalue binary arrays + json_value(typename binary_t::container_type&& value) : binary(create(std::move(value))) {} + + /// constructor for binary arrays (internal type) + json_value(const binary_t& value) : binary(create(value)) {} + + /// constructor for rvalue binary arrays (internal type) + json_value(binary_t&& value) : binary(create(std::move(value))) {} + + void destroy(value_t t) + { + if (t == value_t::array || t == value_t::object) + { + // flatten the current json_value to a heap-allocated stack + std::vector stack; + + // move the top-level items to stack + if (t == value_t::array) + { + stack.reserve(array->size()); + std::move(array->begin(), array->end(), std::back_inserter(stack)); + } + else + { + stack.reserve(object->size()); + for (auto&& it : *object) + { + stack.push_back(std::move(it.second)); + } + } + + while (!stack.empty()) + { + // move the last item to local variable to be processed + basic_json current_item(std::move(stack.back())); + stack.pop_back(); + + // if current_item is array/object, move + // its children to the stack to be processed later + if (current_item.is_array()) + { + std::move(current_item.m_value.array->begin(), current_item.m_value.array->end(), std::back_inserter(stack)); + + current_item.m_value.array->clear(); + } + else if (current_item.is_object()) + { + for (auto&& it : *current_item.m_value.object) + { + stack.push_back(std::move(it.second)); + } + + current_item.m_value.object->clear(); + } + + // it's now safe that current_item get destructed + // since it doesn't have any children + } + } + + switch (t) + { + case value_t::object: + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, object); + std::allocator_traits::deallocate(alloc, object, 1); + break; + } + + case value_t::array: + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, array); + std::allocator_traits::deallocate(alloc, array, 1); + break; + } + + case value_t::string: + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, string); + std::allocator_traits::deallocate(alloc, string, 1); + break; + } + + case value_t::binary: + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, binary); + std::allocator_traits::deallocate(alloc, binary, 1); + break; + } + + case value_t::null: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::discarded: + default: + { + break; + } + } + } + }; + + private: + /*! + @brief checks the class invariants + + This function asserts the class invariants. It needs to be called at the + end of every constructor to make sure that created objects respect the + invariant. Furthermore, it has to be called each time the type of a JSON + value is changed, because the invariant expresses a relationship between + @a m_type and @a m_value. + + Furthermore, the parent relation is checked for arrays and objects: If + @a check_parents true and the value is an array or object, then the + container's elements must have the current value as parent. + + @param[in] check_parents whether the parent relation should be checked. + The value is true by default and should only be set to false + during destruction of objects when the invariant does not + need to hold. + */ + void assert_invariant(bool check_parents = true) const noexcept + { + JSON_ASSERT(m_type != value_t::object || m_value.object != nullptr); + JSON_ASSERT(m_type != value_t::array || m_value.array != nullptr); + JSON_ASSERT(m_type != value_t::string || m_value.string != nullptr); + JSON_ASSERT(m_type != value_t::binary || m_value.binary != nullptr); + +#if JSON_DIAGNOSTICS + JSON_TRY + { + // cppcheck-suppress assertWithSideEffect + JSON_ASSERT(!check_parents || !is_structured() || std::all_of(begin(), end(), [this](const basic_json & j) + { + return j.m_parent == this; + })); + } + JSON_CATCH(...) {} // LCOV_EXCL_LINE +#endif + static_cast(check_parents); + } + + void set_parents() + { +#if JSON_DIAGNOSTICS + switch (m_type) + { + case value_t::array: + { + for (auto& element : *m_value.array) + { + element.m_parent = this; + } + break; + } + + case value_t::object: + { + for (auto& element : *m_value.object) + { + element.second.m_parent = this; + } + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + break; + } +#endif + } + + iterator set_parents(iterator it, typename iterator::difference_type count_set_parents) + { +#if JSON_DIAGNOSTICS + for (typename iterator::difference_type i = 0; i < count_set_parents; ++i) + { + (it + i)->m_parent = this; + } +#else + static_cast(count_set_parents); +#endif + return it; + } + + reference set_parent(reference j, std::size_t old_capacity = static_cast(-1)) + { +#if JSON_DIAGNOSTICS + if (old_capacity != static_cast(-1)) + { + // see https://github.com/nlohmann/json/issues/2838 + JSON_ASSERT(type() == value_t::array); + if (JSON_HEDLEY_UNLIKELY(m_value.array->capacity() != old_capacity)) + { + // capacity has changed: update all parents + set_parents(); + return j; + } + } + + // ordered_json uses a vector internally, so pointers could have + // been invalidated; see https://github.com/nlohmann/json/issues/2962 +#ifdef JSON_HEDLEY_MSVC_VERSION +#pragma warning(push ) +#pragma warning(disable : 4127) // ignore warning to replace if with if constexpr +#endif + if (detail::is_ordered_map::value) + { + set_parents(); + return j; + } +#ifdef JSON_HEDLEY_MSVC_VERSION +#pragma warning( pop ) +#endif + + j.m_parent = this; +#else + static_cast(j); + static_cast(old_capacity); +#endif + return j; + } + + public: + ////////////////////////// + // JSON parser callback // + ////////////////////////// + + /// @brief parser event types + /// @sa https://json.nlohmann.me/api/basic_json/parse_event_t/ + using parse_event_t = detail::parse_event_t; + + /// @brief per-element parser callback type + /// @sa https://json.nlohmann.me/api/basic_json/parser_callback_t/ + using parser_callback_t = detail::parser_callback_t; + + ////////////////// + // constructors // + ////////////////// + + /// @name constructors and destructors + /// Constructors of class @ref basic_json, copy/move constructor, copy + /// assignment, static functions creating objects, and the destructor. + /// @{ + + /// @brief create an empty value with a given type + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(const value_t v) + : m_type(v), m_value(v) + { + assert_invariant(); + } + + /// @brief create a null object + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(std::nullptr_t = nullptr) noexcept + : basic_json(value_t::null) + { + assert_invariant(); + } + + /// @brief create a JSON value from compatible types + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + template < typename CompatibleType, + typename U = detail::uncvref_t, + detail::enable_if_t < + !detail::is_basic_json::value && detail::is_compatible_type::value, int > = 0 > + basic_json(CompatibleType && val) noexcept(noexcept( // NOLINT(bugprone-forwarding-reference-overload,bugprone-exception-escape) + JSONSerializer::to_json(std::declval(), + std::forward(val)))) + { + JSONSerializer::to_json(*this, std::forward(val)); + set_parents(); + assert_invariant(); + } + + /// @brief create a JSON value from an existing one + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + template < typename BasicJsonType, + detail::enable_if_t < + detail::is_basic_json::value&& !std::is_same::value, int > = 0 > + basic_json(const BasicJsonType& val) + { + using other_boolean_t = typename BasicJsonType::boolean_t; + using other_number_float_t = typename BasicJsonType::number_float_t; + using other_number_integer_t = typename BasicJsonType::number_integer_t; + using other_number_unsigned_t = typename BasicJsonType::number_unsigned_t; + using other_string_t = typename BasicJsonType::string_t; + using other_object_t = typename BasicJsonType::object_t; + using other_array_t = typename BasicJsonType::array_t; + using other_binary_t = typename BasicJsonType::binary_t; + + switch (val.type()) + { + case value_t::boolean: + JSONSerializer::to_json(*this, val.template get()); + break; + case value_t::number_float: + JSONSerializer::to_json(*this, val.template get()); + break; + case value_t::number_integer: + JSONSerializer::to_json(*this, val.template get()); + break; + case value_t::number_unsigned: + JSONSerializer::to_json(*this, val.template get()); + break; + case value_t::string: + JSONSerializer::to_json(*this, val.template get_ref()); + break; + case value_t::object: + JSONSerializer::to_json(*this, val.template get_ref()); + break; + case value_t::array: + JSONSerializer::to_json(*this, val.template get_ref()); + break; + case value_t::binary: + JSONSerializer::to_json(*this, val.template get_ref()); + break; + case value_t::null: + *this = nullptr; + break; + case value_t::discarded: + m_type = value_t::discarded; + break; + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + set_parents(); + assert_invariant(); + } + + /// @brief create a container (array or object) from an initializer list + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(initializer_list_t init, + bool type_deduction = true, + value_t manual_type = value_t::array) + { + // check if each element is an array with two elements whose first + // element is a string + bool is_an_object = std::all_of(init.begin(), init.end(), + [](const detail::json_ref& element_ref) + { + return element_ref->is_array() && element_ref->size() == 2 && (*element_ref)[0].is_string(); + }); + + // adjust type if type deduction is not wanted + if (!type_deduction) + { + // if array is wanted, do not create an object though possible + if (manual_type == value_t::array) + { + is_an_object = false; + } + + // if object is wanted but impossible, throw an exception + if (JSON_HEDLEY_UNLIKELY(manual_type == value_t::object && !is_an_object)) + { + JSON_THROW(type_error::create(301, "cannot create object from initializer list", basic_json())); + } + } + + if (is_an_object) + { + // the initializer list is a list of pairs -> create object + m_type = value_t::object; + m_value = value_t::object; + + for (auto& element_ref : init) + { + auto element = element_ref.moved_or_copied(); + m_value.object->emplace( + std::move(*((*element.m_value.array)[0].m_value.string)), + std::move((*element.m_value.array)[1])); + } + } + else + { + // the initializer list describes an array -> create array + m_type = value_t::array; + m_value.array = create(init.begin(), init.end()); + } + + set_parents(); + assert_invariant(); + } + + /// @brief explicitly create a binary array (without subtype) + /// @sa https://json.nlohmann.me/api/basic_json/binary/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json binary(const typename binary_t::container_type& init) + { + auto res = basic_json(); + res.m_type = value_t::binary; + res.m_value = init; + return res; + } + + /// @brief explicitly create a binary array (with subtype) + /// @sa https://json.nlohmann.me/api/basic_json/binary/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json binary(const typename binary_t::container_type& init, typename binary_t::subtype_type subtype) + { + auto res = basic_json(); + res.m_type = value_t::binary; + res.m_value = binary_t(init, subtype); + return res; + } + + /// @brief explicitly create a binary array + /// @sa https://json.nlohmann.me/api/basic_json/binary/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json binary(typename binary_t::container_type&& init) + { + auto res = basic_json(); + res.m_type = value_t::binary; + res.m_value = std::move(init); + return res; + } + + /// @brief explicitly create a binary array (with subtype) + /// @sa https://json.nlohmann.me/api/basic_json/binary/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json binary(typename binary_t::container_type&& init, typename binary_t::subtype_type subtype) + { + auto res = basic_json(); + res.m_type = value_t::binary; + res.m_value = binary_t(std::move(init), subtype); + return res; + } + + /// @brief explicitly create an array from an initializer list + /// @sa https://json.nlohmann.me/api/basic_json/array/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json array(initializer_list_t init = {}) + { + return basic_json(init, false, value_t::array); + } + + /// @brief explicitly create an object from an initializer list + /// @sa https://json.nlohmann.me/api/basic_json/object/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json object(initializer_list_t init = {}) + { + return basic_json(init, false, value_t::object); + } + + /// @brief construct an array with count copies of given value + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(size_type cnt, const basic_json& val) + : m_type(value_t::array) + { + m_value.array = create(cnt, val); + set_parents(); + assert_invariant(); + } + + /// @brief construct a JSON container given an iterator range + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + template < class InputIT, typename std::enable_if < + std::is_same::value || + std::is_same::value, int >::type = 0 > + basic_json(InputIT first, InputIT last) + { + JSON_ASSERT(first.m_object != nullptr); + JSON_ASSERT(last.m_object != nullptr); + + // make sure iterator fits the current value + if (JSON_HEDLEY_UNLIKELY(first.m_object != last.m_object)) + { + JSON_THROW(invalid_iterator::create(201, "iterators are not compatible", basic_json())); + } + + // copy type from first iterator + m_type = first.m_object->m_type; + + // check if iterator range is complete for primitive values + switch (m_type) + { + case value_t::boolean: + case value_t::number_float: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::string: + { + if (JSON_HEDLEY_UNLIKELY(!first.m_it.primitive_iterator.is_begin() + || !last.m_it.primitive_iterator.is_end())) + { + JSON_THROW(invalid_iterator::create(204, "iterators out of range", *first.m_object)); + } + break; + } + + case value_t::null: + case value_t::object: + case value_t::array: + case value_t::binary: + case value_t::discarded: + default: + break; + } + + switch (m_type) + { + case value_t::number_integer: + { + m_value.number_integer = first.m_object->m_value.number_integer; + break; + } + + case value_t::number_unsigned: + { + m_value.number_unsigned = first.m_object->m_value.number_unsigned; + break; + } + + case value_t::number_float: + { + m_value.number_float = first.m_object->m_value.number_float; + break; + } + + case value_t::boolean: + { + m_value.boolean = first.m_object->m_value.boolean; + break; + } + + case value_t::string: + { + m_value = *first.m_object->m_value.string; + break; + } + + case value_t::object: + { + m_value.object = create(first.m_it.object_iterator, + last.m_it.object_iterator); + break; + } + + case value_t::array: + { + m_value.array = create(first.m_it.array_iterator, + last.m_it.array_iterator); + break; + } + + case value_t::binary: + { + m_value = *first.m_object->m_value.binary; + break; + } + + case value_t::null: + case value_t::discarded: + default: + JSON_THROW(invalid_iterator::create(206, "cannot construct with iterators from " + std::string(first.m_object->type_name()), *first.m_object)); + } + + set_parents(); + assert_invariant(); + } + + + /////////////////////////////////////// + // other constructors and destructor // + /////////////////////////////////////// + + template, + std::is_same>::value, int> = 0 > + basic_json(const JsonRef& ref) : basic_json(ref.moved_or_copied()) {} + + /// @brief copy constructor + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(const basic_json& other) + : m_type(other.m_type) + { + // check of passed value is valid + other.assert_invariant(); + + switch (m_type) + { + case value_t::object: + { + m_value = *other.m_value.object; + break; + } + + case value_t::array: + { + m_value = *other.m_value.array; + break; + } + + case value_t::string: + { + m_value = *other.m_value.string; + break; + } + + case value_t::boolean: + { + m_value = other.m_value.boolean; + break; + } + + case value_t::number_integer: + { + m_value = other.m_value.number_integer; + break; + } + + case value_t::number_unsigned: + { + m_value = other.m_value.number_unsigned; + break; + } + + case value_t::number_float: + { + m_value = other.m_value.number_float; + break; + } + + case value_t::binary: + { + m_value = *other.m_value.binary; + break; + } + + case value_t::null: + case value_t::discarded: + default: + break; + } + + set_parents(); + assert_invariant(); + } + + /// @brief move constructor + /// @sa https://json.nlohmann.me/api/basic_json/basic_json/ + basic_json(basic_json&& other) noexcept + : m_type(std::move(other.m_type)), + m_value(std::move(other.m_value)) + { + // check that passed value is valid + other.assert_invariant(false); + + // invalidate payload + other.m_type = value_t::null; + other.m_value = {}; + + set_parents(); + assert_invariant(); + } + + /// @brief copy assignment + /// @sa https://json.nlohmann.me/api/basic_json/operator=/ + basic_json& operator=(basic_json other) noexcept ( + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value&& + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value + ) + { + // check that passed value is valid + other.assert_invariant(); + + using std::swap; + swap(m_type, other.m_type); + swap(m_value, other.m_value); + + set_parents(); + assert_invariant(); + return *this; + } + + /// @brief destructor + /// @sa https://json.nlohmann.me/api/basic_json/~basic_json/ + ~basic_json() noexcept + { + assert_invariant(false); + m_value.destroy(m_type); + } + + /// @} + + public: + /////////////////////// + // object inspection // + /////////////////////// + + /// @name object inspection + /// Functions to inspect the type of a JSON value. + /// @{ + + /// @brief serialization + /// @sa https://json.nlohmann.me/api/basic_json/dump/ + string_t dump(const int indent = -1, + const char indent_char = ' ', + const bool ensure_ascii = false, + const error_handler_t error_handler = error_handler_t::strict) const + { + string_t result; + serializer s(detail::output_adapter(result), indent_char, error_handler); + + if (indent >= 0) + { + s.dump(*this, true, ensure_ascii, static_cast(indent)); + } + else + { + s.dump(*this, false, ensure_ascii, 0); + } + + return result; + } + + /// @brief return the type of the JSON value (explicit) + /// @sa https://json.nlohmann.me/api/basic_json/type/ + constexpr value_t type() const noexcept + { + return m_type; + } + + /// @brief return whether type is primitive + /// @sa https://json.nlohmann.me/api/basic_json/is_primitive/ + constexpr bool is_primitive() const noexcept + { + return is_null() || is_string() || is_boolean() || is_number() || is_binary(); + } + + /// @brief return whether type is structured + /// @sa https://json.nlohmann.me/api/basic_json/is_structured/ + constexpr bool is_structured() const noexcept + { + return is_array() || is_object(); + } + + /// @brief return whether value is null + /// @sa https://json.nlohmann.me/api/basic_json/is_null/ + constexpr bool is_null() const noexcept + { + return m_type == value_t::null; + } + + /// @brief return whether value is a boolean + /// @sa https://json.nlohmann.me/api/basic_json/is_boolean/ + constexpr bool is_boolean() const noexcept + { + return m_type == value_t::boolean; + } + + /// @brief return whether value is a number + /// @sa https://json.nlohmann.me/api/basic_json/is_number/ + constexpr bool is_number() const noexcept + { + return is_number_integer() || is_number_float(); + } + + /// @brief return whether value is an integer number + /// @sa https://json.nlohmann.me/api/basic_json/is_number_integer/ + constexpr bool is_number_integer() const noexcept + { + return m_type == value_t::number_integer || m_type == value_t::number_unsigned; + } + + /// @brief return whether value is an unsigned integer number + /// @sa https://json.nlohmann.me/api/basic_json/is_number_unsigned/ + constexpr bool is_number_unsigned() const noexcept + { + return m_type == value_t::number_unsigned; + } + + /// @brief return whether value is a floating-point number + /// @sa https://json.nlohmann.me/api/basic_json/is_number_float/ + constexpr bool is_number_float() const noexcept + { + return m_type == value_t::number_float; + } + + /// @brief return whether value is an object + /// @sa https://json.nlohmann.me/api/basic_json/is_object/ + constexpr bool is_object() const noexcept + { + return m_type == value_t::object; + } + + /// @brief return whether value is an array + /// @sa https://json.nlohmann.me/api/basic_json/is_array/ + constexpr bool is_array() const noexcept + { + return m_type == value_t::array; + } + + /// @brief return whether value is a string + /// @sa https://json.nlohmann.me/api/basic_json/is_string/ + constexpr bool is_string() const noexcept + { + return m_type == value_t::string; + } + + /// @brief return whether value is a binary array + /// @sa https://json.nlohmann.me/api/basic_json/is_binary/ + constexpr bool is_binary() const noexcept + { + return m_type == value_t::binary; + } + + /// @brief return whether value is discarded + /// @sa https://json.nlohmann.me/api/basic_json/is_discarded/ + constexpr bool is_discarded() const noexcept + { + return m_type == value_t::discarded; + } + + /// @brief return the type of the JSON value (implicit) + /// @sa https://json.nlohmann.me/api/basic_json/operator_value_t/ + constexpr operator value_t() const noexcept + { + return m_type; + } + + /// @} + + private: + ////////////////// + // value access // + ////////////////// + + /// get a boolean (explicit) + boolean_t get_impl(boolean_t* /*unused*/) const + { + if (JSON_HEDLEY_LIKELY(is_boolean())) + { + return m_value.boolean; + } + + JSON_THROW(type_error::create(302, "type must be boolean, but is " + std::string(type_name()), *this)); + } + + /// get a pointer to the value (object) + object_t* get_impl_ptr(object_t* /*unused*/) noexcept + { + return is_object() ? m_value.object : nullptr; + } + + /// get a pointer to the value (object) + constexpr const object_t* get_impl_ptr(const object_t* /*unused*/) const noexcept + { + return is_object() ? m_value.object : nullptr; + } + + /// get a pointer to the value (array) + array_t* get_impl_ptr(array_t* /*unused*/) noexcept + { + return is_array() ? m_value.array : nullptr; + } + + /// get a pointer to the value (array) + constexpr const array_t* get_impl_ptr(const array_t* /*unused*/) const noexcept + { + return is_array() ? m_value.array : nullptr; + } + + /// get a pointer to the value (string) + string_t* get_impl_ptr(string_t* /*unused*/) noexcept + { + return is_string() ? m_value.string : nullptr; + } + + /// get a pointer to the value (string) + constexpr const string_t* get_impl_ptr(const string_t* /*unused*/) const noexcept + { + return is_string() ? m_value.string : nullptr; + } + + /// get a pointer to the value (boolean) + boolean_t* get_impl_ptr(boolean_t* /*unused*/) noexcept + { + return is_boolean() ? &m_value.boolean : nullptr; + } + + /// get a pointer to the value (boolean) + constexpr const boolean_t* get_impl_ptr(const boolean_t* /*unused*/) const noexcept + { + return is_boolean() ? &m_value.boolean : nullptr; + } + + /// get a pointer to the value (integer number) + number_integer_t* get_impl_ptr(number_integer_t* /*unused*/) noexcept + { + return is_number_integer() ? &m_value.number_integer : nullptr; + } + + /// get a pointer to the value (integer number) + constexpr const number_integer_t* get_impl_ptr(const number_integer_t* /*unused*/) const noexcept + { + return is_number_integer() ? &m_value.number_integer : nullptr; + } + + /// get a pointer to the value (unsigned number) + number_unsigned_t* get_impl_ptr(number_unsigned_t* /*unused*/) noexcept + { + return is_number_unsigned() ? &m_value.number_unsigned : nullptr; + } + + /// get a pointer to the value (unsigned number) + constexpr const number_unsigned_t* get_impl_ptr(const number_unsigned_t* /*unused*/) const noexcept + { + return is_number_unsigned() ? &m_value.number_unsigned : nullptr; + } + + /// get a pointer to the value (floating-point number) + number_float_t* get_impl_ptr(number_float_t* /*unused*/) noexcept + { + return is_number_float() ? &m_value.number_float : nullptr; + } + + /// get a pointer to the value (floating-point number) + constexpr const number_float_t* get_impl_ptr(const number_float_t* /*unused*/) const noexcept + { + return is_number_float() ? &m_value.number_float : nullptr; + } + + /// get a pointer to the value (binary) + binary_t* get_impl_ptr(binary_t* /*unused*/) noexcept + { + return is_binary() ? m_value.binary : nullptr; + } + + /// get a pointer to the value (binary) + constexpr const binary_t* get_impl_ptr(const binary_t* /*unused*/) const noexcept + { + return is_binary() ? m_value.binary : nullptr; + } + + /*! + @brief helper function to implement get_ref() + + This function helps to implement get_ref() without code duplication for + const and non-const overloads + + @tparam ThisType will be deduced as `basic_json` or `const basic_json` + + @throw type_error.303 if ReferenceType does not match underlying value + type of the current JSON + */ + template + static ReferenceType get_ref_impl(ThisType& obj) + { + // delegate the call to get_ptr<>() + auto* ptr = obj.template get_ptr::type>(); + + if (JSON_HEDLEY_LIKELY(ptr != nullptr)) + { + return *ptr; + } + + JSON_THROW(type_error::create(303, "incompatible ReferenceType for get_ref, actual type is " + std::string(obj.type_name()), obj)); + } + + public: + /// @name value access + /// Direct access to the stored value of a JSON value. + /// @{ + + /// @brief get a pointer value (implicit) + /// @sa https://json.nlohmann.me/api/basic_json/get_ptr/ + template::value, int>::type = 0> + auto get_ptr() noexcept -> decltype(std::declval().get_impl_ptr(std::declval())) + { + // delegate the call to get_impl_ptr<>() + return get_impl_ptr(static_cast(nullptr)); + } + + /// @brief get a pointer value (implicit) + /// @sa https://json.nlohmann.me/api/basic_json/get_ptr/ + template < typename PointerType, typename std::enable_if < + std::is_pointer::value&& + std::is_const::type>::value, int >::type = 0 > + constexpr auto get_ptr() const noexcept -> decltype(std::declval().get_impl_ptr(std::declval())) + { + // delegate the call to get_impl_ptr<>() const + return get_impl_ptr(static_cast(nullptr)); + } + + private: + /*! + @brief get a value (explicit) + + Explicit type conversion between the JSON value and a compatible value + which is [CopyConstructible](https://en.cppreference.com/w/cpp/named_req/CopyConstructible) + and [DefaultConstructible](https://en.cppreference.com/w/cpp/named_req/DefaultConstructible). + The value is converted by calling the @ref json_serializer + `from_json()` method. + + The function is equivalent to executing + @code {.cpp} + ValueType ret; + JSONSerializer::from_json(*this, ret); + return ret; + @endcode + + This overloads is chosen if: + - @a ValueType is not @ref basic_json, + - @ref json_serializer has a `from_json()` method of the form + `void from_json(const basic_json&, ValueType&)`, and + - @ref json_serializer does not have a `from_json()` method of + the form `ValueType from_json(const basic_json&)` + + @tparam ValueType the returned value type + + @return copy of the JSON value, converted to @a ValueType + + @throw what @ref json_serializer `from_json()` method throws + + @liveexample{The example below shows several conversions from JSON values + to other types. There a few things to note: (1) Floating-point numbers can + be converted to integers\, (2) A JSON array can be converted to a standard + `std::vector`\, (3) A JSON object can be converted to C++ + associative containers such as `std::unordered_map`.,get__ValueType_const} + + @since version 2.1.0 + */ + template < typename ValueType, + detail::enable_if_t < + detail::is_default_constructible::value&& + detail::has_from_json::value, + int > = 0 > + ValueType get_impl(detail::priority_tag<0> /*unused*/) const noexcept(noexcept( + JSONSerializer::from_json(std::declval(), std::declval()))) + { + auto ret = ValueType(); + JSONSerializer::from_json(*this, ret); + return ret; + } + + /*! + @brief get a value (explicit); special case + + Explicit type conversion between the JSON value and a compatible value + which is **not** [CopyConstructible](https://en.cppreference.com/w/cpp/named_req/CopyConstructible) + and **not** [DefaultConstructible](https://en.cppreference.com/w/cpp/named_req/DefaultConstructible). + The value is converted by calling the @ref json_serializer + `from_json()` method. + + The function is equivalent to executing + @code {.cpp} + return JSONSerializer::from_json(*this); + @endcode + + This overloads is chosen if: + - @a ValueType is not @ref basic_json and + - @ref json_serializer has a `from_json()` method of the form + `ValueType from_json(const basic_json&)` + + @note If @ref json_serializer has both overloads of + `from_json()`, this one is chosen. + + @tparam ValueType the returned value type + + @return copy of the JSON value, converted to @a ValueType + + @throw what @ref json_serializer `from_json()` method throws + + @since version 2.1.0 + */ + template < typename ValueType, + detail::enable_if_t < + detail::has_non_default_from_json::value, + int > = 0 > + ValueType get_impl(detail::priority_tag<1> /*unused*/) const noexcept(noexcept( + JSONSerializer::from_json(std::declval()))) + { + return JSONSerializer::from_json(*this); + } + + /*! + @brief get special-case overload + + This overloads converts the current @ref basic_json in a different + @ref basic_json type + + @tparam BasicJsonType == @ref basic_json + + @return a copy of *this, converted into @a BasicJsonType + + @complexity Depending on the implementation of the called `from_json()` + method. + + @since version 3.2.0 + */ + template < typename BasicJsonType, + detail::enable_if_t < + detail::is_basic_json::value, + int > = 0 > + BasicJsonType get_impl(detail::priority_tag<2> /*unused*/) const + { + return *this; + } + + /*! + @brief get special-case overload + + This overloads avoids a lot of template boilerplate, it can be seen as the + identity method + + @tparam BasicJsonType == @ref basic_json + + @return a copy of *this + + @complexity Constant. + + @since version 2.1.0 + */ + template::value, + int> = 0> + basic_json get_impl(detail::priority_tag<3> /*unused*/) const + { + return *this; + } + + /*! + @brief get a pointer value (explicit) + @copydoc get() + */ + template::value, + int> = 0> + constexpr auto get_impl(detail::priority_tag<4> /*unused*/) const noexcept + -> decltype(std::declval().template get_ptr()) + { + // delegate the call to get_ptr + return get_ptr(); + } + + public: + /*! + @brief get a (pointer) value (explicit) + + Performs explicit type conversion between the JSON value and a compatible value if required. + + - If the requested type is a pointer to the internally stored JSON value that pointer is returned. + No copies are made. + + - If the requested type is the current @ref basic_json, or a different @ref basic_json convertible + from the current @ref basic_json. + + - Otherwise the value is converted by calling the @ref json_serializer `from_json()` + method. + + @tparam ValueTypeCV the provided value type + @tparam ValueType the returned value type + + @return copy of the JSON value, converted to @tparam ValueType if necessary + + @throw what @ref json_serializer `from_json()` method throws if conversion is required + + @since version 2.1.0 + */ + template < typename ValueTypeCV, typename ValueType = detail::uncvref_t> +#if defined(JSON_HAS_CPP_14) + constexpr +#endif + auto get() const noexcept( + noexcept(std::declval().template get_impl(detail::priority_tag<4> {}))) + -> decltype(std::declval().template get_impl(detail::priority_tag<4> {})) + { + // we cannot static_assert on ValueTypeCV being non-const, because + // there is support for get(), which is why we + // still need the uncvref + static_assert(!std::is_reference::value, + "get() cannot be used with reference types, you might want to use get_ref()"); + return get_impl(detail::priority_tag<4> {}); + } + + /*! + @brief get a pointer value (explicit) + + Explicit pointer access to the internally stored JSON value. No copies are + made. + + @warning The pointer becomes invalid if the underlying JSON object + changes. + + @tparam PointerType pointer type; must be a pointer to @ref array_t, @ref + object_t, @ref string_t, @ref boolean_t, @ref number_integer_t, + @ref number_unsigned_t, or @ref number_float_t. + + @return pointer to the internally stored JSON value if the requested + pointer type @a PointerType fits to the JSON value; `nullptr` otherwise + + @complexity Constant. + + @liveexample{The example below shows how pointers to internal values of a + JSON value can be requested. Note that no type conversions are made and a + `nullptr` is returned if the value and the requested pointer type does not + match.,get__PointerType} + + @sa see @ref get_ptr() for explicit pointer-member access + + @since version 1.0.0 + */ + template::value, int>::type = 0> + auto get() noexcept -> decltype(std::declval().template get_ptr()) + { + // delegate the call to get_ptr + return get_ptr(); + } + + /// @brief get a value (explicit) + /// @sa https://json.nlohmann.me/api/basic_json/get_to/ + template < typename ValueType, + detail::enable_if_t < + !detail::is_basic_json::value&& + detail::has_from_json::value, + int > = 0 > + ValueType & get_to(ValueType& v) const noexcept(noexcept( + JSONSerializer::from_json(std::declval(), v))) + { + JSONSerializer::from_json(*this, v); + return v; + } + + // specialization to allow calling get_to with a basic_json value + // see https://github.com/nlohmann/json/issues/2175 + template::value, + int> = 0> + ValueType & get_to(ValueType& v) const + { + v = *this; + return v; + } + + template < + typename T, std::size_t N, + typename Array = T (&)[N], // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) + detail::enable_if_t < + detail::has_from_json::value, int > = 0 > + Array get_to(T (&v)[N]) const // NOLINT(cppcoreguidelines-avoid-c-arrays,hicpp-avoid-c-arrays,modernize-avoid-c-arrays) + noexcept(noexcept(JSONSerializer::from_json( + std::declval(), v))) + { + JSONSerializer::from_json(*this, v); + return v; + } + + /// @brief get a reference value (implicit) + /// @sa https://json.nlohmann.me/api/basic_json/get_ref/ + template::value, int>::type = 0> + ReferenceType get_ref() + { + // delegate call to get_ref_impl + return get_ref_impl(*this); + } + + /// @brief get a reference value (implicit) + /// @sa https://json.nlohmann.me/api/basic_json/get_ref/ + template < typename ReferenceType, typename std::enable_if < + std::is_reference::value&& + std::is_const::type>::value, int >::type = 0 > + ReferenceType get_ref() const + { + // delegate call to get_ref_impl + return get_ref_impl(*this); + } + + /*! + @brief get a value (implicit) + + Implicit type conversion between the JSON value and a compatible value. + The call is realized by calling @ref get() const. + + @tparam ValueType non-pointer type compatible to the JSON value, for + instance `int` for JSON integer numbers, `bool` for JSON booleans, or + `std::vector` types for JSON arrays. The character type of @ref string_t + as well as an initializer list of this type is excluded to avoid + ambiguities as these types implicitly convert to `std::string`. + + @return copy of the JSON value, converted to type @a ValueType + + @throw type_error.302 in case passed type @a ValueType is incompatible + to the JSON value type (e.g., the JSON value is of type boolean, but a + string is requested); see example below + + @complexity Linear in the size of the JSON value. + + @liveexample{The example below shows several conversions from JSON values + to other types. There a few things to note: (1) Floating-point numbers can + be converted to integers\, (2) A JSON array can be converted to a standard + `std::vector`\, (3) A JSON object can be converted to C++ + associative containers such as `std::unordered_map`.,operator__ValueType} + + @since version 1.0.0 + */ + template < typename ValueType, typename std::enable_if < + detail::conjunction < + detail::negation>, + detail::negation>, + detail::negation>>, + detail::negation>, + detail::negation>, + detail::negation>>, + +#if defined(JSON_HAS_CPP_17) && (defined(__GNUC__) || (defined(_MSC_VER) && _MSC_VER >= 1910 && _MSC_VER <= 1914)) + detail::negation>, +#endif + detail::is_detected_lazy + >::value, int >::type = 0 > + JSON_EXPLICIT operator ValueType() const + { + // delegate the call to get<>() const + return get(); + } + + /// @brief get a binary value + /// @sa https://json.nlohmann.me/api/basic_json/get_binary/ + binary_t& get_binary() + { + if (!is_binary()) + { + JSON_THROW(type_error::create(302, "type must be binary, but is " + std::string(type_name()), *this)); + } + + return *get_ptr(); + } + + /// @brief get a binary value + /// @sa https://json.nlohmann.me/api/basic_json/get_binary/ + const binary_t& get_binary() const + { + if (!is_binary()) + { + JSON_THROW(type_error::create(302, "type must be binary, but is " + std::string(type_name()), *this)); + } + + return *get_ptr(); + } + + /// @} + + + //////////////////// + // element access // + //////////////////// + + /// @name element access + /// Access to the JSON value. + /// @{ + + /// @brief access specified array element with bounds checking + /// @sa https://json.nlohmann.me/api/basic_json/at/ + reference at(size_type idx) + { + // at only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + JSON_TRY + { + return set_parent(m_value.array->at(idx)); + } + JSON_CATCH (std::out_of_range&) + { + // create better exception explanation + JSON_THROW(out_of_range::create(401, "array index " + std::to_string(idx) + " is out of range", *this)); + } + } + else + { + JSON_THROW(type_error::create(304, "cannot use at() with " + std::string(type_name()), *this)); + } + } + + /// @brief access specified array element with bounds checking + /// @sa https://json.nlohmann.me/api/basic_json/at/ + const_reference at(size_type idx) const + { + // at only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + JSON_TRY + { + return m_value.array->at(idx); + } + JSON_CATCH (std::out_of_range&) + { + // create better exception explanation + JSON_THROW(out_of_range::create(401, "array index " + std::to_string(idx) + " is out of range", *this)); + } + } + else + { + JSON_THROW(type_error::create(304, "cannot use at() with " + std::string(type_name()), *this)); + } + } + + /// @brief access specified object element with bounds checking + /// @sa https://json.nlohmann.me/api/basic_json/at/ + reference at(const typename object_t::key_type& key) + { + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + JSON_TRY + { + return set_parent(m_value.object->at(key)); + } + JSON_CATCH (std::out_of_range&) + { + // create better exception explanation + JSON_THROW(out_of_range::create(403, "key '" + key + "' not found", *this)); + } + } + else + { + JSON_THROW(type_error::create(304, "cannot use at() with " + std::string(type_name()), *this)); + } + } + + /// @brief access specified object element with bounds checking + /// @sa https://json.nlohmann.me/api/basic_json/at/ + const_reference at(const typename object_t::key_type& key) const + { + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + JSON_TRY + { + return m_value.object->at(key); + } + JSON_CATCH (std::out_of_range&) + { + // create better exception explanation + JSON_THROW(out_of_range::create(403, "key '" + key + "' not found", *this)); + } + } + else + { + JSON_THROW(type_error::create(304, "cannot use at() with " + std::string(type_name()), *this)); + } + } + + /// @brief access specified array element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + reference operator[](size_type idx) + { + // implicitly convert null value to an empty array + if (is_null()) + { + m_type = value_t::array; + m_value.array = create(); + assert_invariant(); + } + + // operator[] only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + // fill up array with null values if given idx is outside range + if (idx >= m_value.array->size()) + { +#if JSON_DIAGNOSTICS + // remember array size & capacity before resizing + const auto old_size = m_value.array->size(); + const auto old_capacity = m_value.array->capacity(); +#endif + m_value.array->resize(idx + 1); + +#if JSON_DIAGNOSTICS + if (JSON_HEDLEY_UNLIKELY(m_value.array->capacity() != old_capacity)) + { + // capacity has changed: update all parents + set_parents(); + } + else + { + // set parent for values added above + set_parents(begin() + static_cast(old_size), static_cast(idx + 1 - old_size)); + } +#endif + assert_invariant(); + } + + return m_value.array->operator[](idx); + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a numeric argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified array element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + const_reference operator[](size_type idx) const + { + // const operator[] only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + return m_value.array->operator[](idx); + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a numeric argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + reference operator[](const typename object_t::key_type& key) + { + // implicitly convert null value to an empty object + if (is_null()) + { + m_type = value_t::object; + m_value.object = create(); + assert_invariant(); + } + + // operator[] only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + return set_parent(m_value.object->operator[](key)); + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a string argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + const_reference operator[](const typename object_t::key_type& key) const + { + // const operator[] only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + JSON_ASSERT(m_value.object->find(key) != m_value.object->end()); + return m_value.object->find(key)->second; + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a string argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + template + JSON_HEDLEY_NON_NULL(2) + reference operator[](T* key) + { + // implicitly convert null to object + if (is_null()) + { + m_type = value_t::object; + m_value = value_t::object; + assert_invariant(); + } + + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + return set_parent(m_value.object->operator[](key)); + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a string argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + template + JSON_HEDLEY_NON_NULL(2) + const_reference operator[](T* key) const + { + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + JSON_ASSERT(m_value.object->find(key) != m_value.object->end()); + return m_value.object->find(key)->second; + } + + JSON_THROW(type_error::create(305, "cannot use operator[] with a string argument with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element with default value + /// @sa https://json.nlohmann.me/api/basic_json/value/ + /// using std::is_convertible in a std::enable_if will fail when using explicit conversions + template < class ValueType, typename std::enable_if < + detail::is_getable::value + && !std::is_same::value, int >::type = 0 > + ValueType value(const typename object_t::key_type& key, const ValueType& default_value) const + { + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + // if key is found, return value and given default value otherwise + const auto it = find(key); + if (it != end()) + { + return it->template get(); + } + + return default_value; + } + + JSON_THROW(type_error::create(306, "cannot use value() with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element with default value + /// @sa https://json.nlohmann.me/api/basic_json/value/ + /// overload for a default value of type const char* + string_t value(const typename object_t::key_type& key, const char* default_value) const + { + return value(key, string_t(default_value)); + } + + /// @brief access specified object element via JSON Pointer with default value + /// @sa https://json.nlohmann.me/api/basic_json/value/ + template::value, int>::type = 0> + ValueType value(const json_pointer& ptr, const ValueType& default_value) const + { + // at only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + // if pointer resolves a value, return it or use default value + JSON_TRY + { + return ptr.get_checked(this).template get(); + } + JSON_INTERNAL_CATCH (out_of_range&) + { + return default_value; + } + } + + JSON_THROW(type_error::create(306, "cannot use value() with " + std::string(type_name()), *this)); + } + + /// @brief access specified object element via JSON Pointer with default value + /// @sa https://json.nlohmann.me/api/basic_json/value/ + /// overload for a default value of type const char* + JSON_HEDLEY_NON_NULL(3) + string_t value(const json_pointer& ptr, const char* default_value) const + { + return value(ptr, string_t(default_value)); + } + + /// @brief access the first element + /// @sa https://json.nlohmann.me/api/basic_json/front/ + reference front() + { + return *begin(); + } + + /// @brief access the first element + /// @sa https://json.nlohmann.me/api/basic_json/front/ + const_reference front() const + { + return *cbegin(); + } + + /// @brief access the last element + /// @sa https://json.nlohmann.me/api/basic_json/back/ + reference back() + { + auto tmp = end(); + --tmp; + return *tmp; + } + + /// @brief access the last element + /// @sa https://json.nlohmann.me/api/basic_json/back/ + const_reference back() const + { + auto tmp = cend(); + --tmp; + return *tmp; + } + + /// @brief remove element given an iterator + /// @sa https://json.nlohmann.me/api/basic_json/erase/ + template < class IteratorType, typename std::enable_if < + std::is_same::value || + std::is_same::value, int >::type + = 0 > + IteratorType erase(IteratorType pos) + { + // make sure iterator fits the current value + if (JSON_HEDLEY_UNLIKELY(this != pos.m_object)) + { + JSON_THROW(invalid_iterator::create(202, "iterator does not fit current value", *this)); + } + + IteratorType result = end(); + + switch (m_type) + { + case value_t::boolean: + case value_t::number_float: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::string: + case value_t::binary: + { + if (JSON_HEDLEY_UNLIKELY(!pos.m_it.primitive_iterator.is_begin())) + { + JSON_THROW(invalid_iterator::create(205, "iterator out of range", *this)); + } + + if (is_string()) + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, m_value.string); + std::allocator_traits::deallocate(alloc, m_value.string, 1); + m_value.string = nullptr; + } + else if (is_binary()) + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, m_value.binary); + std::allocator_traits::deallocate(alloc, m_value.binary, 1); + m_value.binary = nullptr; + } + + m_type = value_t::null; + assert_invariant(); + break; + } + + case value_t::object: + { + result.m_it.object_iterator = m_value.object->erase(pos.m_it.object_iterator); + break; + } + + case value_t::array: + { + result.m_it.array_iterator = m_value.array->erase(pos.m_it.array_iterator); + break; + } + + case value_t::null: + case value_t::discarded: + default: + JSON_THROW(type_error::create(307, "cannot use erase() with " + std::string(type_name()), *this)); + } + + return result; + } + + /// @brief remove elements given an iterator range + /// @sa https://json.nlohmann.me/api/basic_json/erase/ + template < class IteratorType, typename std::enable_if < + std::is_same::value || + std::is_same::value, int >::type + = 0 > + IteratorType erase(IteratorType first, IteratorType last) + { + // make sure iterator fits the current value + if (JSON_HEDLEY_UNLIKELY(this != first.m_object || this != last.m_object)) + { + JSON_THROW(invalid_iterator::create(203, "iterators do not fit current value", *this)); + } + + IteratorType result = end(); + + switch (m_type) + { + case value_t::boolean: + case value_t::number_float: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::string: + case value_t::binary: + { + if (JSON_HEDLEY_LIKELY(!first.m_it.primitive_iterator.is_begin() + || !last.m_it.primitive_iterator.is_end())) + { + JSON_THROW(invalid_iterator::create(204, "iterators out of range", *this)); + } + + if (is_string()) + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, m_value.string); + std::allocator_traits::deallocate(alloc, m_value.string, 1); + m_value.string = nullptr; + } + else if (is_binary()) + { + AllocatorType alloc; + std::allocator_traits::destroy(alloc, m_value.binary); + std::allocator_traits::deallocate(alloc, m_value.binary, 1); + m_value.binary = nullptr; + } + + m_type = value_t::null; + assert_invariant(); + break; + } + + case value_t::object: + { + result.m_it.object_iterator = m_value.object->erase(first.m_it.object_iterator, + last.m_it.object_iterator); + break; + } + + case value_t::array: + { + result.m_it.array_iterator = m_value.array->erase(first.m_it.array_iterator, + last.m_it.array_iterator); + break; + } + + case value_t::null: + case value_t::discarded: + default: + JSON_THROW(type_error::create(307, "cannot use erase() with " + std::string(type_name()), *this)); + } + + return result; + } + + /// @brief remove element from a JSON object given a key + /// @sa https://json.nlohmann.me/api/basic_json/erase/ + size_type erase(const typename object_t::key_type& key) + { + // this erase only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + return m_value.object->erase(key); + } + + JSON_THROW(type_error::create(307, "cannot use erase() with " + std::string(type_name()), *this)); + } + + /// @brief remove element from a JSON array given an index + /// @sa https://json.nlohmann.me/api/basic_json/erase/ + void erase(const size_type idx) + { + // this erase only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + if (JSON_HEDLEY_UNLIKELY(idx >= size())) + { + JSON_THROW(out_of_range::create(401, "array index " + std::to_string(idx) + " is out of range", *this)); + } + + m_value.array->erase(m_value.array->begin() + static_cast(idx)); + } + else + { + JSON_THROW(type_error::create(307, "cannot use erase() with " + std::string(type_name()), *this)); + } + } + + /// @} + + + //////////// + // lookup // + //////////// + + /// @name lookup + /// @{ + + /// @brief find an element in a JSON object + /// @sa https://json.nlohmann.me/api/basic_json/find/ + template + iterator find(KeyT&& key) + { + auto result = end(); + + if (is_object()) + { + result.m_it.object_iterator = m_value.object->find(std::forward(key)); + } + + return result; + } + + /// @brief find an element in a JSON object + /// @sa https://json.nlohmann.me/api/basic_json/find/ + template + const_iterator find(KeyT&& key) const + { + auto result = cend(); + + if (is_object()) + { + result.m_it.object_iterator = m_value.object->find(std::forward(key)); + } + + return result; + } + + /// @brief returns the number of occurrences of a key in a JSON object + /// @sa https://json.nlohmann.me/api/basic_json/count/ + template + size_type count(KeyT&& key) const + { + // return 0 for all nonobject types + return is_object() ? m_value.object->count(std::forward(key)) : 0; + } + + /// @brief check the existence of an element in a JSON object + /// @sa https://json.nlohmann.me/api/basic_json/contains/ + template < typename KeyT, typename std::enable_if < + !std::is_same::type, json_pointer>::value, int >::type = 0 > + bool contains(KeyT && key) const + { + return is_object() && m_value.object->find(std::forward(key)) != m_value.object->end(); + } + + /// @brief check the existence of an element in a JSON object given a JSON pointer + /// @sa https://json.nlohmann.me/api/basic_json/contains/ + bool contains(const json_pointer& ptr) const + { + return ptr.contains(this); + } + + /// @} + + + /////////////// + // iterators // + /////////////// + + /// @name iterators + /// @{ + + /// @brief returns an iterator to the first element + /// @sa https://json.nlohmann.me/api/basic_json/begin/ + iterator begin() noexcept + { + iterator result(this); + result.set_begin(); + return result; + } + + /// @brief returns an iterator to the first element + /// @sa https://json.nlohmann.me/api/basic_json/begin/ + const_iterator begin() const noexcept + { + return cbegin(); + } + + /// @brief returns a const iterator to the first element + /// @sa https://json.nlohmann.me/api/basic_json/cbegin/ + const_iterator cbegin() const noexcept + { + const_iterator result(this); + result.set_begin(); + return result; + } + + /// @brief returns an iterator to one past the last element + /// @sa https://json.nlohmann.me/api/basic_json/end/ + iterator end() noexcept + { + iterator result(this); + result.set_end(); + return result; + } + + /// @brief returns an iterator to one past the last element + /// @sa https://json.nlohmann.me/api/basic_json/end/ + const_iterator end() const noexcept + { + return cend(); + } + + /// @brief returns an iterator to one past the last element + /// @sa https://json.nlohmann.me/api/basic_json/cend/ + const_iterator cend() const noexcept + { + const_iterator result(this); + result.set_end(); + return result; + } + + /// @brief returns an iterator to the reverse-beginning + /// @sa https://json.nlohmann.me/api/basic_json/rbegin/ + reverse_iterator rbegin() noexcept + { + return reverse_iterator(end()); + } + + /// @brief returns an iterator to the reverse-beginning + /// @sa https://json.nlohmann.me/api/basic_json/rbegin/ + const_reverse_iterator rbegin() const noexcept + { + return crbegin(); + } + + /// @brief returns an iterator to the reverse-end + /// @sa https://json.nlohmann.me/api/basic_json/rend/ + reverse_iterator rend() noexcept + { + return reverse_iterator(begin()); + } + + /// @brief returns an iterator to the reverse-end + /// @sa https://json.nlohmann.me/api/basic_json/rend/ + const_reverse_iterator rend() const noexcept + { + return crend(); + } + + /// @brief returns a const reverse iterator to the last element + /// @sa https://json.nlohmann.me/api/basic_json/crbegin/ + const_reverse_iterator crbegin() const noexcept + { + return const_reverse_iterator(cend()); + } + + /// @brief returns a const reverse iterator to one before the first + /// @sa https://json.nlohmann.me/api/basic_json/crend/ + const_reverse_iterator crend() const noexcept + { + return const_reverse_iterator(cbegin()); + } + + public: + /// @brief wrapper to access iterator member functions in range-based for + /// @sa https://json.nlohmann.me/api/basic_json/items/ + /// @deprecated This function is deprecated since 3.1.0 and will be removed in + /// version 4.0.0 of the library. Please use @ref items() instead; + /// that is, replace `json::iterator_wrapper(j)` with `j.items()`. + JSON_HEDLEY_DEPRECATED_FOR(3.1.0, items()) + static iteration_proxy iterator_wrapper(reference ref) noexcept + { + return ref.items(); + } + + /// @brief wrapper to access iterator member functions in range-based for + /// @sa https://json.nlohmann.me/api/basic_json/items/ + /// @deprecated This function is deprecated since 3.1.0 and will be removed in + /// version 4.0.0 of the library. Please use @ref items() instead; + /// that is, replace `json::iterator_wrapper(j)` with `j.items()`. + JSON_HEDLEY_DEPRECATED_FOR(3.1.0, items()) + static iteration_proxy iterator_wrapper(const_reference ref) noexcept + { + return ref.items(); + } + + /// @brief helper to access iterator member functions in range-based for + /// @sa https://json.nlohmann.me/api/basic_json/items/ + iteration_proxy items() noexcept + { + return iteration_proxy(*this); + } + + /// @brief helper to access iterator member functions in range-based for + /// @sa https://json.nlohmann.me/api/basic_json/items/ + iteration_proxy items() const noexcept + { + return iteration_proxy(*this); + } + + /// @} + + + ////////////// + // capacity // + ////////////// + + /// @name capacity + /// @{ + + /// @brief checks whether the container is empty. + /// @sa https://json.nlohmann.me/api/basic_json/empty/ + bool empty() const noexcept + { + switch (m_type) + { + case value_t::null: + { + // null values are empty + return true; + } + + case value_t::array: + { + // delegate call to array_t::empty() + return m_value.array->empty(); + } + + case value_t::object: + { + // delegate call to object_t::empty() + return m_value.object->empty(); + } + + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + // all other types are nonempty + return false; + } + } + } + + /// @brief returns the number of elements + /// @sa https://json.nlohmann.me/api/basic_json/size/ + size_type size() const noexcept + { + switch (m_type) + { + case value_t::null: + { + // null values are empty + return 0; + } + + case value_t::array: + { + // delegate call to array_t::size() + return m_value.array->size(); + } + + case value_t::object: + { + // delegate call to object_t::size() + return m_value.object->size(); + } + + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + // all other types have size 1 + return 1; + } + } + } + + /// @brief returns the maximum possible number of elements + /// @sa https://json.nlohmann.me/api/basic_json/max_size/ + size_type max_size() const noexcept + { + switch (m_type) + { + case value_t::array: + { + // delegate call to array_t::max_size() + return m_value.array->max_size(); + } + + case value_t::object: + { + // delegate call to object_t::max_size() + return m_value.object->max_size(); + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + // all other types have max_size() == size() + return size(); + } + } + } + + /// @} + + + /////////////// + // modifiers // + /////////////// + + /// @name modifiers + /// @{ + + /// @brief clears the contents + /// @sa https://json.nlohmann.me/api/basic_json/clear/ + void clear() noexcept + { + switch (m_type) + { + case value_t::number_integer: + { + m_value.number_integer = 0; + break; + } + + case value_t::number_unsigned: + { + m_value.number_unsigned = 0; + break; + } + + case value_t::number_float: + { + m_value.number_float = 0.0; + break; + } + + case value_t::boolean: + { + m_value.boolean = false; + break; + } + + case value_t::string: + { + m_value.string->clear(); + break; + } + + case value_t::binary: + { + m_value.binary->clear(); + break; + } + + case value_t::array: + { + m_value.array->clear(); + break; + } + + case value_t::object: + { + m_value.object->clear(); + break; + } + + case value_t::null: + case value_t::discarded: + default: + break; + } + } + + /// @brief add an object to an array + /// @sa https://json.nlohmann.me/api/basic_json/push_back/ + void push_back(basic_json&& val) + { + // push_back only works for null objects or arrays + if (JSON_HEDLEY_UNLIKELY(!(is_null() || is_array()))) + { + JSON_THROW(type_error::create(308, "cannot use push_back() with " + std::string(type_name()), *this)); + } + + // transform null object into an array + if (is_null()) + { + m_type = value_t::array; + m_value = value_t::array; + assert_invariant(); + } + + // add element to array (move semantics) + const auto old_capacity = m_value.array->capacity(); + m_value.array->push_back(std::move(val)); + set_parent(m_value.array->back(), old_capacity); + // if val is moved from, basic_json move constructor marks it null, so we do not call the destructor + } + + /// @brief add an object to an array + /// @sa https://json.nlohmann.me/api/basic_json/operator+=/ + reference operator+=(basic_json&& val) + { + push_back(std::move(val)); + return *this; + } + + /// @brief add an object to an array + /// @sa https://json.nlohmann.me/api/basic_json/push_back/ + void push_back(const basic_json& val) + { + // push_back only works for null objects or arrays + if (JSON_HEDLEY_UNLIKELY(!(is_null() || is_array()))) + { + JSON_THROW(type_error::create(308, "cannot use push_back() with " + std::string(type_name()), *this)); + } + + // transform null object into an array + if (is_null()) + { + m_type = value_t::array; + m_value = value_t::array; + assert_invariant(); + } + + // add element to array + const auto old_capacity = m_value.array->capacity(); + m_value.array->push_back(val); + set_parent(m_value.array->back(), old_capacity); + } + + /// @brief add an object to an array + /// @sa https://json.nlohmann.me/api/basic_json/operator+=/ + reference operator+=(const basic_json& val) + { + push_back(val); + return *this; + } + + /// @brief add an object to an object + /// @sa https://json.nlohmann.me/api/basic_json/push_back/ + void push_back(const typename object_t::value_type& val) + { + // push_back only works for null objects or objects + if (JSON_HEDLEY_UNLIKELY(!(is_null() || is_object()))) + { + JSON_THROW(type_error::create(308, "cannot use push_back() with " + std::string(type_name()), *this)); + } + + // transform null object into an object + if (is_null()) + { + m_type = value_t::object; + m_value = value_t::object; + assert_invariant(); + } + + // add element to object + auto res = m_value.object->insert(val); + set_parent(res.first->second); + } + + /// @brief add an object to an object + /// @sa https://json.nlohmann.me/api/basic_json/operator+=/ + reference operator+=(const typename object_t::value_type& val) + { + push_back(val); + return *this; + } + + /// @brief add an object to an object + /// @sa https://json.nlohmann.me/api/basic_json/push_back/ + void push_back(initializer_list_t init) + { + if (is_object() && init.size() == 2 && (*init.begin())->is_string()) + { + basic_json&& key = init.begin()->moved_or_copied(); + push_back(typename object_t::value_type( + std::move(key.get_ref()), (init.begin() + 1)->moved_or_copied())); + } + else + { + push_back(basic_json(init)); + } + } + + /// @brief add an object to an object + /// @sa https://json.nlohmann.me/api/basic_json/operator+=/ + reference operator+=(initializer_list_t init) + { + push_back(init); + return *this; + } + + /// @brief add an object to an array + /// @sa https://json.nlohmann.me/api/basic_json/emplace_back/ + template + reference emplace_back(Args&& ... args) + { + // emplace_back only works for null objects or arrays + if (JSON_HEDLEY_UNLIKELY(!(is_null() || is_array()))) + { + JSON_THROW(type_error::create(311, "cannot use emplace_back() with " + std::string(type_name()), *this)); + } + + // transform null object into an array + if (is_null()) + { + m_type = value_t::array; + m_value = value_t::array; + assert_invariant(); + } + + // add element to array (perfect forwarding) + const auto old_capacity = m_value.array->capacity(); + m_value.array->emplace_back(std::forward(args)...); + return set_parent(m_value.array->back(), old_capacity); + } + + /// @brief add an object to an object if key does not exist + /// @sa https://json.nlohmann.me/api/basic_json/emplace/ + template + std::pair emplace(Args&& ... args) + { + // emplace only works for null objects or arrays + if (JSON_HEDLEY_UNLIKELY(!(is_null() || is_object()))) + { + JSON_THROW(type_error::create(311, "cannot use emplace() with " + std::string(type_name()), *this)); + } + + // transform null object into an object + if (is_null()) + { + m_type = value_t::object; + m_value = value_t::object; + assert_invariant(); + } + + // add element to array (perfect forwarding) + auto res = m_value.object->emplace(std::forward(args)...); + set_parent(res.first->second); + + // create result iterator and set iterator to the result of emplace + auto it = begin(); + it.m_it.object_iterator = res.first; + + // return pair of iterator and boolean + return {it, res.second}; + } + + /// Helper for insertion of an iterator + /// @note: This uses std::distance to support GCC 4.8, + /// see https://github.com/nlohmann/json/pull/1257 + template + iterator insert_iterator(const_iterator pos, Args&& ... args) + { + iterator result(this); + JSON_ASSERT(m_value.array != nullptr); + + auto insert_pos = std::distance(m_value.array->begin(), pos.m_it.array_iterator); + m_value.array->insert(pos.m_it.array_iterator, std::forward(args)...); + result.m_it.array_iterator = m_value.array->begin() + insert_pos; + + // This could have been written as: + // result.m_it.array_iterator = m_value.array->insert(pos.m_it.array_iterator, cnt, val); + // but the return value of insert is missing in GCC 4.8, so it is written this way instead. + + set_parents(); + return result; + } + + /// @brief inserts element into array + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + iterator insert(const_iterator pos, const basic_json& val) + { + // insert only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + // check if iterator pos fits to this JSON value + if (JSON_HEDLEY_UNLIKELY(pos.m_object != this)) + { + JSON_THROW(invalid_iterator::create(202, "iterator does not fit current value", *this)); + } + + // insert to array and return iterator + return insert_iterator(pos, val); + } + + JSON_THROW(type_error::create(309, "cannot use insert() with " + std::string(type_name()), *this)); + } + + /// @brief inserts element into array + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + iterator insert(const_iterator pos, basic_json&& val) + { + return insert(pos, val); + } + + /// @brief inserts copies of element into array + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + iterator insert(const_iterator pos, size_type cnt, const basic_json& val) + { + // insert only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + // check if iterator pos fits to this JSON value + if (JSON_HEDLEY_UNLIKELY(pos.m_object != this)) + { + JSON_THROW(invalid_iterator::create(202, "iterator does not fit current value", *this)); + } + + // insert to array and return iterator + return insert_iterator(pos, cnt, val); + } + + JSON_THROW(type_error::create(309, "cannot use insert() with " + std::string(type_name()), *this)); + } + + /// @brief inserts range of elements into array + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + iterator insert(const_iterator pos, const_iterator first, const_iterator last) + { + // insert only works for arrays + if (JSON_HEDLEY_UNLIKELY(!is_array())) + { + JSON_THROW(type_error::create(309, "cannot use insert() with " + std::string(type_name()), *this)); + } + + // check if iterator pos fits to this JSON value + if (JSON_HEDLEY_UNLIKELY(pos.m_object != this)) + { + JSON_THROW(invalid_iterator::create(202, "iterator does not fit current value", *this)); + } + + // check if range iterators belong to the same JSON object + if (JSON_HEDLEY_UNLIKELY(first.m_object != last.m_object)) + { + JSON_THROW(invalid_iterator::create(210, "iterators do not fit", *this)); + } + + if (JSON_HEDLEY_UNLIKELY(first.m_object == this)) + { + JSON_THROW(invalid_iterator::create(211, "passed iterators may not belong to container", *this)); + } + + // insert to array and return iterator + return insert_iterator(pos, first.m_it.array_iterator, last.m_it.array_iterator); + } + + /// @brief inserts elements from initializer list into array + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + iterator insert(const_iterator pos, initializer_list_t ilist) + { + // insert only works for arrays + if (JSON_HEDLEY_UNLIKELY(!is_array())) + { + JSON_THROW(type_error::create(309, "cannot use insert() with " + std::string(type_name()), *this)); + } + + // check if iterator pos fits to this JSON value + if (JSON_HEDLEY_UNLIKELY(pos.m_object != this)) + { + JSON_THROW(invalid_iterator::create(202, "iterator does not fit current value", *this)); + } + + // insert to array and return iterator + return insert_iterator(pos, ilist.begin(), ilist.end()); + } + + /// @brief inserts range of elements into object + /// @sa https://json.nlohmann.me/api/basic_json/insert/ + void insert(const_iterator first, const_iterator last) + { + // insert only works for objects + if (JSON_HEDLEY_UNLIKELY(!is_object())) + { + JSON_THROW(type_error::create(309, "cannot use insert() with " + std::string(type_name()), *this)); + } + + // check if range iterators belong to the same JSON object + if (JSON_HEDLEY_UNLIKELY(first.m_object != last.m_object)) + { + JSON_THROW(invalid_iterator::create(210, "iterators do not fit", *this)); + } + + // passed iterators must belong to objects + if (JSON_HEDLEY_UNLIKELY(!first.m_object->is_object())) + { + JSON_THROW(invalid_iterator::create(202, "iterators first and last must point to objects", *this)); + } + + m_value.object->insert(first.m_it.object_iterator, last.m_it.object_iterator); + } + + /// @brief updates a JSON object from another object, overwriting existing keys + /// @sa https://json.nlohmann.me/api/basic_json/update/ + void update(const_reference j, bool merge_objects = false) + { + update(j.begin(), j.end(), merge_objects); + } + + /// @brief updates a JSON object from another object, overwriting existing keys + /// @sa https://json.nlohmann.me/api/basic_json/update/ + void update(const_iterator first, const_iterator last, bool merge_objects = false) + { + // implicitly convert null value to an empty object + if (is_null()) + { + m_type = value_t::object; + m_value.object = create(); + assert_invariant(); + } + + if (JSON_HEDLEY_UNLIKELY(!is_object())) + { + JSON_THROW(type_error::create(312, "cannot use update() with " + std::string(type_name()), *this)); + } + + // check if range iterators belong to the same JSON object + if (JSON_HEDLEY_UNLIKELY(first.m_object != last.m_object)) + { + JSON_THROW(invalid_iterator::create(210, "iterators do not fit", *this)); + } + + // passed iterators must belong to objects + if (JSON_HEDLEY_UNLIKELY(!first.m_object->is_object())) + { + JSON_THROW(type_error::create(312, "cannot use update() with " + std::string(first.m_object->type_name()), *first.m_object)); + } + + for (auto it = first; it != last; ++it) + { + if (merge_objects && it.value().is_object()) + { + auto it2 = m_value.object->find(it.key()); + if (it2 != m_value.object->end()) + { + it2->second.update(it.value(), true); + continue; + } + } + m_value.object->operator[](it.key()) = it.value(); +#if JSON_DIAGNOSTICS + m_value.object->operator[](it.key()).m_parent = this; +#endif + } + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(reference other) noexcept ( + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value&& + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value + ) + { + std::swap(m_type, other.m_type); + std::swap(m_value, other.m_value); + + set_parents(); + other.set_parents(); + assert_invariant(); + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + friend void swap(reference left, reference right) noexcept ( + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value&& + std::is_nothrow_move_constructible::value&& + std::is_nothrow_move_assignable::value + ) + { + left.swap(right); + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(array_t& other) // NOLINT(bugprone-exception-escape) + { + // swap only works for arrays + if (JSON_HEDLEY_LIKELY(is_array())) + { + std::swap(*(m_value.array), other); + } + else + { + JSON_THROW(type_error::create(310, "cannot use swap() with " + std::string(type_name()), *this)); + } + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(object_t& other) // NOLINT(bugprone-exception-escape) + { + // swap only works for objects + if (JSON_HEDLEY_LIKELY(is_object())) + { + std::swap(*(m_value.object), other); + } + else + { + JSON_THROW(type_error::create(310, "cannot use swap() with " + std::string(type_name()), *this)); + } + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(string_t& other) // NOLINT(bugprone-exception-escape) + { + // swap only works for strings + if (JSON_HEDLEY_LIKELY(is_string())) + { + std::swap(*(m_value.string), other); + } + else + { + JSON_THROW(type_error::create(310, "cannot use swap() with " + std::string(type_name()), *this)); + } + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(binary_t& other) // NOLINT(bugprone-exception-escape) + { + // swap only works for strings + if (JSON_HEDLEY_LIKELY(is_binary())) + { + std::swap(*(m_value.binary), other); + } + else + { + JSON_THROW(type_error::create(310, "cannot use swap() with " + std::string(type_name()), *this)); + } + } + + /// @brief exchanges the values + /// @sa https://json.nlohmann.me/api/basic_json/swap/ + void swap(typename binary_t::container_type& other) // NOLINT(bugprone-exception-escape) + { + // swap only works for strings + if (JSON_HEDLEY_LIKELY(is_binary())) + { + std::swap(*(m_value.binary), other); + } + else + { + JSON_THROW(type_error::create(310, "cannot use swap() with " + std::string(type_name()), *this)); + } + } + + /// @} + + public: + ////////////////////////////////////////// + // lexicographical comparison operators // + ////////////////////////////////////////// + + /// @name lexicographical comparison operators + /// @{ + + /// @brief comparison: equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_eq/ + friend bool operator==(const_reference lhs, const_reference rhs) noexcept + { +#ifdef __GNUC__ +#pragma GCC diagnostic push +#pragma GCC diagnostic ignored "-Wfloat-equal" +#endif + const auto lhs_type = lhs.type(); + const auto rhs_type = rhs.type(); + + if (lhs_type == rhs_type) + { + switch (lhs_type) + { + case value_t::array: + return *lhs.m_value.array == *rhs.m_value.array; + + case value_t::object: + return *lhs.m_value.object == *rhs.m_value.object; + + case value_t::null: + return true; + + case value_t::string: + return *lhs.m_value.string == *rhs.m_value.string; + + case value_t::boolean: + return lhs.m_value.boolean == rhs.m_value.boolean; + + case value_t::number_integer: + return lhs.m_value.number_integer == rhs.m_value.number_integer; + + case value_t::number_unsigned: + return lhs.m_value.number_unsigned == rhs.m_value.number_unsigned; + + case value_t::number_float: + return lhs.m_value.number_float == rhs.m_value.number_float; + + case value_t::binary: + return *lhs.m_value.binary == *rhs.m_value.binary; + + case value_t::discarded: + default: + return false; + } + } + else if (lhs_type == value_t::number_integer && rhs_type == value_t::number_float) + { + return static_cast(lhs.m_value.number_integer) == rhs.m_value.number_float; + } + else if (lhs_type == value_t::number_float && rhs_type == value_t::number_integer) + { + return lhs.m_value.number_float == static_cast(rhs.m_value.number_integer); + } + else if (lhs_type == value_t::number_unsigned && rhs_type == value_t::number_float) + { + return static_cast(lhs.m_value.number_unsigned) == rhs.m_value.number_float; + } + else if (lhs_type == value_t::number_float && rhs_type == value_t::number_unsigned) + { + return lhs.m_value.number_float == static_cast(rhs.m_value.number_unsigned); + } + else if (lhs_type == value_t::number_unsigned && rhs_type == value_t::number_integer) + { + return static_cast(lhs.m_value.number_unsigned) == rhs.m_value.number_integer; + } + else if (lhs_type == value_t::number_integer && rhs_type == value_t::number_unsigned) + { + return lhs.m_value.number_integer == static_cast(rhs.m_value.number_unsigned); + } + + return false; +#ifdef __GNUC__ +#pragma GCC diagnostic pop +#endif + } + + /// @brief comparison: equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_eq/ + template::value, int>::type = 0> + friend bool operator==(const_reference lhs, ScalarType rhs) noexcept + { + return lhs == basic_json(rhs); + } + + /// @brief comparison: equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_eq/ + template::value, int>::type = 0> + friend bool operator==(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) == rhs; + } + + /// @brief comparison: not equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ne/ + friend bool operator!=(const_reference lhs, const_reference rhs) noexcept + { + return !(lhs == rhs); + } + + /// @brief comparison: not equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ne/ + template::value, int>::type = 0> + friend bool operator!=(const_reference lhs, ScalarType rhs) noexcept + { + return lhs != basic_json(rhs); + } + + /// @brief comparison: not equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ne/ + template::value, int>::type = 0> + friend bool operator!=(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) != rhs; + } + + /// @brief comparison: less than + /// @sa https://json.nlohmann.me/api/basic_json/operator_lt/ + friend bool operator<(const_reference lhs, const_reference rhs) noexcept + { + const auto lhs_type = lhs.type(); + const auto rhs_type = rhs.type(); + + if (lhs_type == rhs_type) + { + switch (lhs_type) + { + case value_t::array: + // note parentheses are necessary, see + // https://github.com/nlohmann/json/issues/1530 + return (*lhs.m_value.array) < (*rhs.m_value.array); + + case value_t::object: + return (*lhs.m_value.object) < (*rhs.m_value.object); + + case value_t::null: + return false; + + case value_t::string: + return (*lhs.m_value.string) < (*rhs.m_value.string); + + case value_t::boolean: + return (lhs.m_value.boolean) < (rhs.m_value.boolean); + + case value_t::number_integer: + return (lhs.m_value.number_integer) < (rhs.m_value.number_integer); + + case value_t::number_unsigned: + return (lhs.m_value.number_unsigned) < (rhs.m_value.number_unsigned); + + case value_t::number_float: + return (lhs.m_value.number_float) < (rhs.m_value.number_float); + + case value_t::binary: + return (*lhs.m_value.binary) < (*rhs.m_value.binary); + + case value_t::discarded: + default: + return false; + } + } + else if (lhs_type == value_t::number_integer && rhs_type == value_t::number_float) + { + return static_cast(lhs.m_value.number_integer) < rhs.m_value.number_float; + } + else if (lhs_type == value_t::number_float && rhs_type == value_t::number_integer) + { + return lhs.m_value.number_float < static_cast(rhs.m_value.number_integer); + } + else if (lhs_type == value_t::number_unsigned && rhs_type == value_t::number_float) + { + return static_cast(lhs.m_value.number_unsigned) < rhs.m_value.number_float; + } + else if (lhs_type == value_t::number_float && rhs_type == value_t::number_unsigned) + { + return lhs.m_value.number_float < static_cast(rhs.m_value.number_unsigned); + } + else if (lhs_type == value_t::number_integer && rhs_type == value_t::number_unsigned) + { + return lhs.m_value.number_integer < static_cast(rhs.m_value.number_unsigned); + } + else if (lhs_type == value_t::number_unsigned && rhs_type == value_t::number_integer) + { + return static_cast(lhs.m_value.number_unsigned) < rhs.m_value.number_integer; + } + + // We only reach this line if we cannot compare values. In that case, + // we compare types. Note we have to call the operator explicitly, + // because MSVC has problems otherwise. + return operator<(lhs_type, rhs_type); + } + + /// @brief comparison: less than + /// @sa https://json.nlohmann.me/api/basic_json/operator_lt/ + template::value, int>::type = 0> + friend bool operator<(const_reference lhs, ScalarType rhs) noexcept + { + return lhs < basic_json(rhs); + } + + /// @brief comparison: less than + /// @sa https://json.nlohmann.me/api/basic_json/operator_lt/ + template::value, int>::type = 0> + friend bool operator<(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) < rhs; + } + + /// @brief comparison: less than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_le/ + friend bool operator<=(const_reference lhs, const_reference rhs) noexcept + { + return !(rhs < lhs); + } + + /// @brief comparison: less than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_le/ + template::value, int>::type = 0> + friend bool operator<=(const_reference lhs, ScalarType rhs) noexcept + { + return lhs <= basic_json(rhs); + } + + /// @brief comparison: less than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_le/ + template::value, int>::type = 0> + friend bool operator<=(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) <= rhs; + } + + /// @brief comparison: greater than + /// @sa https://json.nlohmann.me/api/basic_json/operator_gt/ + friend bool operator>(const_reference lhs, const_reference rhs) noexcept + { + return !(lhs <= rhs); + } + + /// @brief comparison: greater than + /// @sa https://json.nlohmann.me/api/basic_json/operator_gt/ + template::value, int>::type = 0> + friend bool operator>(const_reference lhs, ScalarType rhs) noexcept + { + return lhs > basic_json(rhs); + } + + /// @brief comparison: greater than + /// @sa https://json.nlohmann.me/api/basic_json/operator_gt/ + template::value, int>::type = 0> + friend bool operator>(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) > rhs; + } + + /// @brief comparison: greater than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ge/ + friend bool operator>=(const_reference lhs, const_reference rhs) noexcept + { + return !(lhs < rhs); + } + + /// @brief comparison: greater than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ge/ + template::value, int>::type = 0> + friend bool operator>=(const_reference lhs, ScalarType rhs) noexcept + { + return lhs >= basic_json(rhs); + } + + /// @brief comparison: greater than or equal + /// @sa https://json.nlohmann.me/api/basic_json/operator_ge/ + template::value, int>::type = 0> + friend bool operator>=(ScalarType lhs, const_reference rhs) noexcept + { + return basic_json(lhs) >= rhs; + } + + /// @} + + /////////////////// + // serialization // + /////////////////// + + /// @name serialization + /// @{ +#ifndef JSON_NO_IO + /// @brief serialize to stream + /// @sa https://json.nlohmann.me/api/basic_json/operator_ltlt/ + friend std::ostream& operator<<(std::ostream& o, const basic_json& j) + { + // read width member and use it as indentation parameter if nonzero + const bool pretty_print = o.width() > 0; + const auto indentation = pretty_print ? o.width() : 0; + + // reset width to 0 for subsequent calls to this stream + o.width(0); + + // do the actual serialization + serializer s(detail::output_adapter(o), o.fill()); + s.dump(j, pretty_print, false, static_cast(indentation)); + return o; + } + + /// @brief serialize to stream + /// @sa https://json.nlohmann.me/api/basic_json/operator_ltlt/ + /// @deprecated This function is deprecated since 3.0.0 and will be removed in + /// version 4.0.0 of the library. Please use + /// operator<<(std::ostream&, const basic_json&) instead; that is, + /// replace calls like `j >> o;` with `o << j;`. + JSON_HEDLEY_DEPRECATED_FOR(3.0.0, operator<<(std::ostream&, const basic_json&)) + friend std::ostream& operator>>(const basic_json& j, std::ostream& o) + { + return o << j; + } +#endif // JSON_NO_IO + /// @} + + + ///////////////////// + // deserialization // + ///////////////////// + + /// @name deserialization + /// @{ + + /// @brief deserialize from a compatible input + /// @sa https://json.nlohmann.me/api/basic_json/parse/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json parse(InputType&& i, + const parser_callback_t cb = nullptr, + const bool allow_exceptions = true, + const bool ignore_comments = false) + { + basic_json result; + parser(detail::input_adapter(std::forward(i)), cb, allow_exceptions, ignore_comments).parse(true, result); + return result; + } + + /// @brief deserialize from a pair of character iterators + /// @sa https://json.nlohmann.me/api/basic_json/parse/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json parse(IteratorType first, + IteratorType last, + const parser_callback_t cb = nullptr, + const bool allow_exceptions = true, + const bool ignore_comments = false) + { + basic_json result; + parser(detail::input_adapter(std::move(first), std::move(last)), cb, allow_exceptions, ignore_comments).parse(true, result); + return result; + } + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, parse(ptr, ptr + len)) + static basic_json parse(detail::span_input_adapter&& i, + const parser_callback_t cb = nullptr, + const bool allow_exceptions = true, + const bool ignore_comments = false) + { + basic_json result; + parser(i.get(), cb, allow_exceptions, ignore_comments).parse(true, result); + return result; + } + + /// @brief check if the input is valid JSON + /// @sa https://json.nlohmann.me/api/basic_json/accept/ + template + static bool accept(InputType&& i, + const bool ignore_comments = false) + { + return parser(detail::input_adapter(std::forward(i)), nullptr, false, ignore_comments).accept(true); + } + + /// @brief check if the input is valid JSON + /// @sa https://json.nlohmann.me/api/basic_json/accept/ + template + static bool accept(IteratorType first, IteratorType last, + const bool ignore_comments = false) + { + return parser(detail::input_adapter(std::move(first), std::move(last)), nullptr, false, ignore_comments).accept(true); + } + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, accept(ptr, ptr + len)) + static bool accept(detail::span_input_adapter&& i, + const bool ignore_comments = false) + { + return parser(i.get(), nullptr, false, ignore_comments).accept(true); + } + + /// @brief generate SAX events + /// @sa https://json.nlohmann.me/api/basic_json/sax_parse/ + template + JSON_HEDLEY_NON_NULL(2) + static bool sax_parse(InputType&& i, SAX* sax, + input_format_t format = input_format_t::json, + const bool strict = true, + const bool ignore_comments = false) + { + auto ia = detail::input_adapter(std::forward(i)); + return format == input_format_t::json + ? parser(std::move(ia), nullptr, true, ignore_comments).sax_parse(sax, strict) + : detail::binary_reader(std::move(ia)).sax_parse(format, sax, strict); + } + + /// @brief generate SAX events + /// @sa https://json.nlohmann.me/api/basic_json/sax_parse/ + template + JSON_HEDLEY_NON_NULL(3) + static bool sax_parse(IteratorType first, IteratorType last, SAX* sax, + input_format_t format = input_format_t::json, + const bool strict = true, + const bool ignore_comments = false) + { + auto ia = detail::input_adapter(std::move(first), std::move(last)); + return format == input_format_t::json + ? parser(std::move(ia), nullptr, true, ignore_comments).sax_parse(sax, strict) + : detail::binary_reader(std::move(ia)).sax_parse(format, sax, strict); + } + + /// @brief generate SAX events + /// @sa https://json.nlohmann.me/api/basic_json/sax_parse/ + /// @deprecated This function is deprecated since 3.8.0 and will be removed in + /// version 4.0.0 of the library. Please use + /// sax_parse(ptr, ptr + len) instead. + template + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, sax_parse(ptr, ptr + len, ...)) + JSON_HEDLEY_NON_NULL(2) + static bool sax_parse(detail::span_input_adapter&& i, SAX* sax, + input_format_t format = input_format_t::json, + const bool strict = true, + const bool ignore_comments = false) + { + auto ia = i.get(); + return format == input_format_t::json + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + ? parser(std::move(ia), nullptr, true, ignore_comments).sax_parse(sax, strict) + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + : detail::binary_reader(std::move(ia)).sax_parse(format, sax, strict); + } +#ifndef JSON_NO_IO + /// @brief deserialize from stream + /// @sa https://json.nlohmann.me/api/basic_json/operator_gtgt/ + /// @deprecated This stream operator is deprecated since 3.0.0 and will be removed in + /// version 4.0.0 of the library. Please use + /// operator>>(std::istream&, basic_json&) instead; that is, + /// replace calls like `j << i;` with `i >> j;`. + JSON_HEDLEY_DEPRECATED_FOR(3.0.0, operator>>(std::istream&, basic_json&)) + friend std::istream& operator<<(basic_json& j, std::istream& i) + { + return operator>>(i, j); + } + + /// @brief deserialize from stream + /// @sa https://json.nlohmann.me/api/basic_json/operator_gtgt/ + friend std::istream& operator>>(std::istream& i, basic_json& j) + { + parser(detail::input_adapter(i)).parse(false, j); + return i; + } +#endif // JSON_NO_IO + /// @} + + /////////////////////////// + // convenience functions // + /////////////////////////// + + /// @brief return the type as string + /// @sa https://json.nlohmann.me/api/basic_json/type_name/ + JSON_HEDLEY_RETURNS_NON_NULL + const char* type_name() const noexcept + { + switch (m_type) + { + case value_t::null: + return "null"; + case value_t::object: + return "object"; + case value_t::array: + return "array"; + case value_t::string: + return "string"; + case value_t::boolean: + return "boolean"; + case value_t::binary: + return "binary"; + case value_t::discarded: + return "discarded"; + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + default: + return "number"; + } + } + + + JSON_PRIVATE_UNLESS_TESTED: + ////////////////////// + // member variables // + ////////////////////// + + /// the type of the current element + value_t m_type = value_t::null; + + /// the value of the current element + json_value m_value = {}; + +#if JSON_DIAGNOSTICS + /// a pointer to a parent value (for debugging purposes) + basic_json* m_parent = nullptr; +#endif + + ////////////////////////////////////////// + // binary serialization/deserialization // + ////////////////////////////////////////// + + /// @name binary serialization/deserialization support + /// @{ + + public: + /// @brief create a CBOR serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_cbor/ + static std::vector to_cbor(const basic_json& j) + { + std::vector result; + to_cbor(j, result); + return result; + } + + /// @brief create a CBOR serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_cbor/ + static void to_cbor(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_cbor(j); + } + + /// @brief create a CBOR serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_cbor/ + static void to_cbor(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_cbor(j); + } + + /// @brief create a MessagePack serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_msgpack/ + static std::vector to_msgpack(const basic_json& j) + { + std::vector result; + to_msgpack(j, result); + return result; + } + + /// @brief create a MessagePack serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_msgpack/ + static void to_msgpack(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_msgpack(j); + } + + /// @brief create a MessagePack serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_msgpack/ + static void to_msgpack(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_msgpack(j); + } + + /// @brief create a UBJSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_ubjson/ + static std::vector to_ubjson(const basic_json& j, + const bool use_size = false, + const bool use_type = false) + { + std::vector result; + to_ubjson(j, result, use_size, use_type); + return result; + } + + /// @brief create a UBJSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_ubjson/ + static void to_ubjson(const basic_json& j, detail::output_adapter o, + const bool use_size = false, const bool use_type = false) + { + binary_writer(o).write_ubjson(j, use_size, use_type); + } + + /// @brief create a UBJSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_ubjson/ + static void to_ubjson(const basic_json& j, detail::output_adapter o, + const bool use_size = false, const bool use_type = false) + { + binary_writer(o).write_ubjson(j, use_size, use_type); + } + + /// @brief create a BSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_bson/ + static std::vector to_bson(const basic_json& j) + { + std::vector result; + to_bson(j, result); + return result; + } + + /// @brief create a BSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_bson/ + static void to_bson(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_bson(j); + } + + /// @brief create a BSON serialization of a given JSON value + /// @sa https://json.nlohmann.me/api/basic_json/to_bson/ + static void to_bson(const basic_json& j, detail::output_adapter o) + { + binary_writer(o).write_bson(j); + } + + /// @brief create a JSON value from an input in CBOR format + /// @sa https://json.nlohmann.me/api/basic_json/from_cbor/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_cbor(InputType&& i, + const bool strict = true, + const bool allow_exceptions = true, + const cbor_tag_handler_t tag_handler = cbor_tag_handler_t::error) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::forward(i)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::cbor, &sdp, strict, tag_handler); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in CBOR format + /// @sa https://json.nlohmann.me/api/basic_json/from_cbor/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_cbor(IteratorType first, IteratorType last, + const bool strict = true, + const bool allow_exceptions = true, + const cbor_tag_handler_t tag_handler = cbor_tag_handler_t::error) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::move(first), std::move(last)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::cbor, &sdp, strict, tag_handler); + return res ? result : basic_json(value_t::discarded); + } + + template + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_cbor(ptr, ptr + len)) + static basic_json from_cbor(const T* ptr, std::size_t len, + const bool strict = true, + const bool allow_exceptions = true, + const cbor_tag_handler_t tag_handler = cbor_tag_handler_t::error) + { + return from_cbor(ptr, ptr + len, strict, allow_exceptions, tag_handler); + } + + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_cbor(ptr, ptr + len)) + static basic_json from_cbor(detail::span_input_adapter&& i, + const bool strict = true, + const bool allow_exceptions = true, + const cbor_tag_handler_t tag_handler = cbor_tag_handler_t::error) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = i.get(); + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::cbor, &sdp, strict, tag_handler); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in MessagePack format + /// @sa https://json.nlohmann.me/api/basic_json/from_msgpack/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_msgpack(InputType&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::forward(i)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::msgpack, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in MessagePack format + /// @sa https://json.nlohmann.me/api/basic_json/from_msgpack/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_msgpack(IteratorType first, IteratorType last, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::move(first), std::move(last)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::msgpack, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + template + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_msgpack(ptr, ptr + len)) + static basic_json from_msgpack(const T* ptr, std::size_t len, + const bool strict = true, + const bool allow_exceptions = true) + { + return from_msgpack(ptr, ptr + len, strict, allow_exceptions); + } + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_msgpack(ptr, ptr + len)) + static basic_json from_msgpack(detail::span_input_adapter&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = i.get(); + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::msgpack, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in UBJSON format + /// @sa https://json.nlohmann.me/api/basic_json/from_ubjson/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_ubjson(InputType&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::forward(i)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::ubjson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in UBJSON format + /// @sa https://json.nlohmann.me/api/basic_json/from_ubjson/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_ubjson(IteratorType first, IteratorType last, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::move(first), std::move(last)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::ubjson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + template + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_ubjson(ptr, ptr + len)) + static basic_json from_ubjson(const T* ptr, std::size_t len, + const bool strict = true, + const bool allow_exceptions = true) + { + return from_ubjson(ptr, ptr + len, strict, allow_exceptions); + } + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_ubjson(ptr, ptr + len)) + static basic_json from_ubjson(detail::span_input_adapter&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = i.get(); + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::ubjson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in BSON format + /// @sa https://json.nlohmann.me/api/basic_json/from_bson/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_bson(InputType&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::forward(i)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::bson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + /// @brief create a JSON value from an input in BSON format + /// @sa https://json.nlohmann.me/api/basic_json/from_bson/ + template + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json from_bson(IteratorType first, IteratorType last, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = detail::input_adapter(std::move(first), std::move(last)); + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::bson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + + template + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_bson(ptr, ptr + len)) + static basic_json from_bson(const T* ptr, std::size_t len, + const bool strict = true, + const bool allow_exceptions = true) + { + return from_bson(ptr, ptr + len, strict, allow_exceptions); + } + + JSON_HEDLEY_WARN_UNUSED_RESULT + JSON_HEDLEY_DEPRECATED_FOR(3.8.0, from_bson(ptr, ptr + len)) + static basic_json from_bson(detail::span_input_adapter&& i, + const bool strict = true, + const bool allow_exceptions = true) + { + basic_json result; + detail::json_sax_dom_parser sdp(result, allow_exceptions); + auto ia = i.get(); + // NOLINTNEXTLINE(hicpp-move-const-arg,performance-move-const-arg) + const bool res = binary_reader(std::move(ia)).sax_parse(input_format_t::bson, &sdp, strict); + return res ? result : basic_json(value_t::discarded); + } + /// @} + + ////////////////////////// + // JSON Pointer support // + ////////////////////////// + + /// @name JSON Pointer functions + /// @{ + + /// @brief access specified element via JSON Pointer + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + reference operator[](const json_pointer& ptr) + { + return ptr.get_unchecked(this); + } + + /// @brief access specified element via JSON Pointer + /// @sa https://json.nlohmann.me/api/basic_json/operator%5B%5D/ + const_reference operator[](const json_pointer& ptr) const + { + return ptr.get_unchecked(this); + } + + /// @brief access specified element via JSON Pointer + /// @sa https://json.nlohmann.me/api/basic_json/at/ + reference at(const json_pointer& ptr) + { + return ptr.get_checked(this); + } + + /// @brief access specified element via JSON Pointer + /// @sa https://json.nlohmann.me/api/basic_json/at/ + const_reference at(const json_pointer& ptr) const + { + return ptr.get_checked(this); + } + + /// @brief return flattened JSON value + /// @sa https://json.nlohmann.me/api/basic_json/flatten/ + basic_json flatten() const + { + basic_json result(value_t::object); + json_pointer::flatten("", *this, result); + return result; + } + + /// @brief unflatten a previously flattened JSON value + /// @sa https://json.nlohmann.me/api/basic_json/unflatten/ + basic_json unflatten() const + { + return json_pointer::unflatten(*this); + } + + /// @} + + ////////////////////////// + // JSON Patch functions // + ////////////////////////// + + /// @name JSON Patch functions + /// @{ + + /// @brief applies a JSON patch + /// @sa https://json.nlohmann.me/api/basic_json/patch/ + basic_json patch(const basic_json& json_patch) const + { + // make a working copy to apply the patch to + basic_json result = *this; + + // the valid JSON Patch operations + enum class patch_operations {add, remove, replace, move, copy, test, invalid}; + + const auto get_op = [](const std::string & op) + { + if (op == "add") + { + return patch_operations::add; + } + if (op == "remove") + { + return patch_operations::remove; + } + if (op == "replace") + { + return patch_operations::replace; + } + if (op == "move") + { + return patch_operations::move; + } + if (op == "copy") + { + return patch_operations::copy; + } + if (op == "test") + { + return patch_operations::test; + } + + return patch_operations::invalid; + }; + + // wrapper for "add" operation; add value at ptr + const auto operation_add = [&result](json_pointer & ptr, basic_json val) + { + // adding to the root of the target document means replacing it + if (ptr.empty()) + { + result = val; + return; + } + + // make sure the top element of the pointer exists + json_pointer top_pointer = ptr.top(); + if (top_pointer != ptr) + { + result.at(top_pointer); + } + + // get reference to parent of JSON pointer ptr + const auto last_path = ptr.back(); + ptr.pop_back(); + basic_json& parent = result[ptr]; + + switch (parent.m_type) + { + case value_t::null: + case value_t::object: + { + // use operator[] to add value + parent[last_path] = val; + break; + } + + case value_t::array: + { + if (last_path == "-") + { + // special case: append to back + parent.push_back(val); + } + else + { + const auto idx = json_pointer::array_index(last_path); + if (JSON_HEDLEY_UNLIKELY(idx > parent.size())) + { + // avoid undefined behavior + JSON_THROW(out_of_range::create(401, "array index " + std::to_string(idx) + " is out of range", parent)); + } + + // default case: insert add offset + parent.insert(parent.begin() + static_cast(idx), val); + } + break; + } + + // if there exists a parent it cannot be primitive + case value_t::string: // LCOV_EXCL_LINE + case value_t::boolean: // LCOV_EXCL_LINE + case value_t::number_integer: // LCOV_EXCL_LINE + case value_t::number_unsigned: // LCOV_EXCL_LINE + case value_t::number_float: // LCOV_EXCL_LINE + case value_t::binary: // LCOV_EXCL_LINE + case value_t::discarded: // LCOV_EXCL_LINE + default: // LCOV_EXCL_LINE + JSON_ASSERT(false); // NOLINT(cert-dcl03-c,hicpp-static-assert,misc-static-assert) LCOV_EXCL_LINE + } + }; + + // wrapper for "remove" operation; remove value at ptr + const auto operation_remove = [this, &result](json_pointer & ptr) + { + // get reference to parent of JSON pointer ptr + const auto last_path = ptr.back(); + ptr.pop_back(); + basic_json& parent = result.at(ptr); + + // remove child + if (parent.is_object()) + { + // perform range check + auto it = parent.find(last_path); + if (JSON_HEDLEY_LIKELY(it != parent.end())) + { + parent.erase(it); + } + else + { + JSON_THROW(out_of_range::create(403, "key '" + last_path + "' not found", *this)); + } + } + else if (parent.is_array()) + { + // note erase performs range check + parent.erase(json_pointer::array_index(last_path)); + } + }; + + // type check: top level value must be an array + if (JSON_HEDLEY_UNLIKELY(!json_patch.is_array())) + { + JSON_THROW(parse_error::create(104, 0, "JSON patch must be an array of objects", json_patch)); + } + + // iterate and apply the operations + for (const auto& val : json_patch) + { + // wrapper to get a value for an operation + const auto get_value = [&val](const std::string & op, + const std::string & member, + bool string_type) -> basic_json & + { + // find value + auto it = val.m_value.object->find(member); + + // context-sensitive error message + const auto error_msg = (op == "op") ? "operation" : "operation '" + op + "'"; + + // check if desired value is present + if (JSON_HEDLEY_UNLIKELY(it == val.m_value.object->end())) + { + // NOLINTNEXTLINE(performance-inefficient-string-concatenation) + JSON_THROW(parse_error::create(105, 0, error_msg + " must have member '" + member + "'", val)); + } + + // check if result is of type string + if (JSON_HEDLEY_UNLIKELY(string_type && !it->second.is_string())) + { + // NOLINTNEXTLINE(performance-inefficient-string-concatenation) + JSON_THROW(parse_error::create(105, 0, error_msg + " must have string member '" + member + "'", val)); + } + + // no error: return value + return it->second; + }; + + // type check: every element of the array must be an object + if (JSON_HEDLEY_UNLIKELY(!val.is_object())) + { + JSON_THROW(parse_error::create(104, 0, "JSON patch must be an array of objects", val)); + } + + // collect mandatory members + const auto op = get_value("op", "op", true).template get(); + const auto path = get_value(op, "path", true).template get(); + json_pointer ptr(path); + + switch (get_op(op)) + { + case patch_operations::add: + { + operation_add(ptr, get_value("add", "value", false)); + break; + } + + case patch_operations::remove: + { + operation_remove(ptr); + break; + } + + case patch_operations::replace: + { + // the "path" location must exist - use at() + result.at(ptr) = get_value("replace", "value", false); + break; + } + + case patch_operations::move: + { + const auto from_path = get_value("move", "from", true).template get(); + json_pointer from_ptr(from_path); + + // the "from" location must exist - use at() + basic_json v = result.at(from_ptr); + + // The move operation is functionally identical to a + // "remove" operation on the "from" location, followed + // immediately by an "add" operation at the target + // location with the value that was just removed. + operation_remove(from_ptr); + operation_add(ptr, v); + break; + } + + case patch_operations::copy: + { + const auto from_path = get_value("copy", "from", true).template get(); + const json_pointer from_ptr(from_path); + + // the "from" location must exist - use at() + basic_json v = result.at(from_ptr); + + // The copy is functionally identical to an "add" + // operation at the target location using the value + // specified in the "from" member. + operation_add(ptr, v); + break; + } + + case patch_operations::test: + { + bool success = false; + JSON_TRY + { + // check if "value" matches the one at "path" + // the "path" location must exist - use at() + success = (result.at(ptr) == get_value("test", "value", false)); + } + JSON_INTERNAL_CATCH (out_of_range&) + { + // ignore out of range errors: success remains false + } + + // throw an exception if test fails + if (JSON_HEDLEY_UNLIKELY(!success)) + { + JSON_THROW(other_error::create(501, "unsuccessful: " + val.dump(), val)); + } + + break; + } + + case patch_operations::invalid: + default: + { + // op must be "add", "remove", "replace", "move", "copy", or + // "test" + JSON_THROW(parse_error::create(105, 0, "operation value '" + op + "' is invalid", val)); + } + } + } + + return result; + } + + /// @brief creates a diff as a JSON patch + /// @sa https://json.nlohmann.me/api/basic_json/diff/ + JSON_HEDLEY_WARN_UNUSED_RESULT + static basic_json diff(const basic_json& source, const basic_json& target, + const std::string& path = "") + { + // the patch + basic_json result(value_t::array); + + // if the values are the same, return empty patch + if (source == target) + { + return result; + } + + if (source.type() != target.type()) + { + // different types: replace value + result.push_back( + { + {"op", "replace"}, {"path", path}, {"value", target} + }); + return result; + } + + switch (source.type()) + { + case value_t::array: + { + // first pass: traverse common elements + std::size_t i = 0; + while (i < source.size() && i < target.size()) + { + // recursive call to compare array values at index i + auto temp_diff = diff(source[i], target[i], path + "/" + std::to_string(i)); + result.insert(result.end(), temp_diff.begin(), temp_diff.end()); + ++i; + } + + // We now reached the end of at least one array + // in a second pass, traverse the remaining elements + + // remove my remaining elements + const auto end_index = static_cast(result.size()); + while (i < source.size()) + { + // add operations in reverse order to avoid invalid + // indices + result.insert(result.begin() + end_index, object( + { + {"op", "remove"}, + {"path", path + "/" + std::to_string(i)} + })); + ++i; + } + + // add other remaining elements + while (i < target.size()) + { + result.push_back( + { + {"op", "add"}, + {"path", path + "/-"}, + {"value", target[i]} + }); + ++i; + } + + break; + } + + case value_t::object: + { + // first pass: traverse this object's elements + for (auto it = source.cbegin(); it != source.cend(); ++it) + { + // escape the key name to be used in a JSON patch + const auto path_key = path + "/" + detail::escape(it.key()); + + if (target.find(it.key()) != target.end()) + { + // recursive call to compare object values at key it + auto temp_diff = diff(it.value(), target[it.key()], path_key); + result.insert(result.end(), temp_diff.begin(), temp_diff.end()); + } + else + { + // found a key that is not in o -> remove it + result.push_back(object( + { + {"op", "remove"}, {"path", path_key} + })); + } + } + + // second pass: traverse other object's elements + for (auto it = target.cbegin(); it != target.cend(); ++it) + { + if (source.find(it.key()) == source.end()) + { + // found a key that is not in this -> add it + const auto path_key = path + "/" + detail::escape(it.key()); + result.push_back( + { + {"op", "add"}, {"path", path_key}, + {"value", it.value()} + }); + } + } + + break; + } + + case value_t::null: + case value_t::string: + case value_t::boolean: + case value_t::number_integer: + case value_t::number_unsigned: + case value_t::number_float: + case value_t::binary: + case value_t::discarded: + default: + { + // both primitive type: replace value + result.push_back( + { + {"op", "replace"}, {"path", path}, {"value", target} + }); + break; + } + } + + return result; + } + + /// @} + + //////////////////////////////// + // JSON Merge Patch functions // + //////////////////////////////// + + /// @name JSON Merge Patch functions + /// @{ + + /// @brief applies a JSON Merge Patch + /// @sa https://json.nlohmann.me/api/basic_json/merge_patch/ + void merge_patch(const basic_json& apply_patch) + { + if (apply_patch.is_object()) + { + if (!is_object()) + { + *this = object(); + } + for (auto it = apply_patch.begin(); it != apply_patch.end(); ++it) + { + if (it.value().is_null()) + { + erase(it.key()); + } + else + { + operator[](it.key()).merge_patch(it.value()); + } + } + } + else + { + *this = apply_patch; + } + } + + /// @} +}; + +/// @brief user-defined to_string function for JSON values +/// @sa https://json.nlohmann.me/api/basic_json/to_string/ +NLOHMANN_BASIC_JSON_TPL_DECLARATION +std::string to_string(const NLOHMANN_BASIC_JSON_TPL& j) +{ + return j.dump(); +} + +} // namespace nlohmann + +/////////////////////// +// nonmember support // +/////////////////////// + +namespace std // NOLINT(cert-dcl58-cpp) +{ + +/// @brief hash value for JSON objects +/// @sa https://json.nlohmann.me/api/basic_json/std_hash/ +NLOHMANN_BASIC_JSON_TPL_DECLARATION +struct hash +{ + std::size_t operator()(const nlohmann::NLOHMANN_BASIC_JSON_TPL& j) const + { + return nlohmann::detail::hash(j); + } +}; + +// specialization for std::less +template<> +struct less< ::nlohmann::detail::value_t> // do not remove the space after '<', see https://github.com/nlohmann/json/pull/679 +{ + /*! + @brief compare two value_t enum values + @since version 3.0.0 + */ + bool operator()(nlohmann::detail::value_t lhs, + nlohmann::detail::value_t rhs) const noexcept + { + return nlohmann::detail::operator<(lhs, rhs); + } +}; + +// C++20 prohibit function specialization in the std namespace. +#ifndef JSON_HAS_CPP_20 + +/// @brief exchanges the values of two JSON objects +/// @sa https://json.nlohmann.me/api/basic_json/std_swap/ +NLOHMANN_BASIC_JSON_TPL_DECLARATION +inline void swap(nlohmann::NLOHMANN_BASIC_JSON_TPL& j1, nlohmann::NLOHMANN_BASIC_JSON_TPL& j2) noexcept( // NOLINT(readability-inconsistent-declaration-parameter-name) + is_nothrow_move_constructible::value&& // NOLINT(misc-redundant-expression) + is_nothrow_move_assignable::value) +{ + j1.swap(j2); +} + +#endif + +} // namespace std + +/// @brief user-defined string literal for JSON values +/// @sa https://json.nlohmann.me/api/basic_json/operator_literal_json/ +JSON_HEDLEY_NON_NULL(1) +inline nlohmann::json operator "" _json(const char* s, std::size_t n) +{ + return nlohmann::json::parse(s, s + n); +} + +/// @brief user-defined string literal for JSON pointer +/// @sa https://json.nlohmann.me/api/basic_json/operator_literal_json_pointer/ +JSON_HEDLEY_NON_NULL(1) +inline nlohmann::json::json_pointer operator "" _json_pointer(const char* s, std::size_t n) +{ + return nlohmann::json::json_pointer(std::string(s, n)); +} + +// #include + + +// restore clang diagnostic settings +#if defined(__clang__) + #pragma clang diagnostic pop +#endif + +// clean up +#undef JSON_ASSERT +#undef JSON_INTERNAL_CATCH +#undef JSON_CATCH +#undef JSON_THROW +#undef JSON_TRY +#undef JSON_PRIVATE_UNLESS_TESTED +#undef JSON_HAS_CPP_11 +#undef JSON_HAS_CPP_14 +#undef JSON_HAS_CPP_17 +#undef JSON_HAS_CPP_20 +#undef JSON_HAS_FILESYSTEM +#undef JSON_HAS_EXPERIMENTAL_FILESYSTEM +#undef NLOHMANN_BASIC_JSON_TPL_DECLARATION +#undef NLOHMANN_BASIC_JSON_TPL +#undef JSON_EXPLICIT +#undef NLOHMANN_CAN_CALL_STD_FUNC_IMPL + +// #include + + +#undef JSON_HEDLEY_ALWAYS_INLINE +#undef JSON_HEDLEY_ARM_VERSION +#undef JSON_HEDLEY_ARM_VERSION_CHECK +#undef JSON_HEDLEY_ARRAY_PARAM +#undef JSON_HEDLEY_ASSUME +#undef JSON_HEDLEY_BEGIN_C_DECLS +#undef JSON_HEDLEY_CLANG_HAS_ATTRIBUTE +#undef JSON_HEDLEY_CLANG_HAS_BUILTIN +#undef JSON_HEDLEY_CLANG_HAS_CPP_ATTRIBUTE +#undef JSON_HEDLEY_CLANG_HAS_DECLSPEC_DECLSPEC_ATTRIBUTE +#undef JSON_HEDLEY_CLANG_HAS_EXTENSION +#undef JSON_HEDLEY_CLANG_HAS_FEATURE +#undef JSON_HEDLEY_CLANG_HAS_WARNING +#undef JSON_HEDLEY_COMPCERT_VERSION +#undef JSON_HEDLEY_COMPCERT_VERSION_CHECK +#undef JSON_HEDLEY_CONCAT +#undef JSON_HEDLEY_CONCAT3 +#undef JSON_HEDLEY_CONCAT3_EX +#undef JSON_HEDLEY_CONCAT_EX +#undef JSON_HEDLEY_CONST +#undef JSON_HEDLEY_CONSTEXPR +#undef JSON_HEDLEY_CONST_CAST +#undef JSON_HEDLEY_CPP_CAST +#undef JSON_HEDLEY_CRAY_VERSION +#undef JSON_HEDLEY_CRAY_VERSION_CHECK +#undef JSON_HEDLEY_C_DECL +#undef JSON_HEDLEY_DEPRECATED +#undef JSON_HEDLEY_DEPRECATED_FOR +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_CAST_QUAL +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_CPP98_COMPAT_WRAP_ +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_DEPRECATED +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_CPP_ATTRIBUTES +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNKNOWN_PRAGMAS +#undef JSON_HEDLEY_DIAGNOSTIC_DISABLE_UNUSED_FUNCTION +#undef JSON_HEDLEY_DIAGNOSTIC_POP +#undef JSON_HEDLEY_DIAGNOSTIC_PUSH +#undef JSON_HEDLEY_DMC_VERSION +#undef JSON_HEDLEY_DMC_VERSION_CHECK +#undef JSON_HEDLEY_EMPTY_BASES +#undef JSON_HEDLEY_EMSCRIPTEN_VERSION +#undef JSON_HEDLEY_EMSCRIPTEN_VERSION_CHECK +#undef JSON_HEDLEY_END_C_DECLS +#undef JSON_HEDLEY_FLAGS +#undef JSON_HEDLEY_FLAGS_CAST +#undef JSON_HEDLEY_GCC_HAS_ATTRIBUTE +#undef JSON_HEDLEY_GCC_HAS_BUILTIN +#undef JSON_HEDLEY_GCC_HAS_CPP_ATTRIBUTE +#undef JSON_HEDLEY_GCC_HAS_DECLSPEC_ATTRIBUTE +#undef JSON_HEDLEY_GCC_HAS_EXTENSION +#undef JSON_HEDLEY_GCC_HAS_FEATURE +#undef JSON_HEDLEY_GCC_HAS_WARNING +#undef JSON_HEDLEY_GCC_NOT_CLANG_VERSION_CHECK +#undef JSON_HEDLEY_GCC_VERSION +#undef JSON_HEDLEY_GCC_VERSION_CHECK +#undef JSON_HEDLEY_GNUC_HAS_ATTRIBUTE +#undef JSON_HEDLEY_GNUC_HAS_BUILTIN +#undef JSON_HEDLEY_GNUC_HAS_CPP_ATTRIBUTE +#undef JSON_HEDLEY_GNUC_HAS_DECLSPEC_ATTRIBUTE +#undef JSON_HEDLEY_GNUC_HAS_EXTENSION +#undef JSON_HEDLEY_GNUC_HAS_FEATURE +#undef JSON_HEDLEY_GNUC_HAS_WARNING +#undef JSON_HEDLEY_GNUC_VERSION +#undef JSON_HEDLEY_GNUC_VERSION_CHECK +#undef JSON_HEDLEY_HAS_ATTRIBUTE +#undef JSON_HEDLEY_HAS_BUILTIN +#undef JSON_HEDLEY_HAS_CPP_ATTRIBUTE +#undef JSON_HEDLEY_HAS_CPP_ATTRIBUTE_NS +#undef JSON_HEDLEY_HAS_DECLSPEC_ATTRIBUTE +#undef JSON_HEDLEY_HAS_EXTENSION +#undef JSON_HEDLEY_HAS_FEATURE +#undef JSON_HEDLEY_HAS_WARNING +#undef JSON_HEDLEY_IAR_VERSION +#undef JSON_HEDLEY_IAR_VERSION_CHECK +#undef JSON_HEDLEY_IBM_VERSION +#undef JSON_HEDLEY_IBM_VERSION_CHECK +#undef JSON_HEDLEY_IMPORT +#undef JSON_HEDLEY_INLINE +#undef JSON_HEDLEY_INTEL_CL_VERSION +#undef JSON_HEDLEY_INTEL_CL_VERSION_CHECK +#undef JSON_HEDLEY_INTEL_VERSION +#undef JSON_HEDLEY_INTEL_VERSION_CHECK +#undef JSON_HEDLEY_IS_CONSTANT +#undef JSON_HEDLEY_IS_CONSTEXPR_ +#undef JSON_HEDLEY_LIKELY +#undef JSON_HEDLEY_MALLOC +#undef JSON_HEDLEY_MCST_LCC_VERSION +#undef JSON_HEDLEY_MCST_LCC_VERSION_CHECK +#undef JSON_HEDLEY_MESSAGE +#undef JSON_HEDLEY_MSVC_VERSION +#undef JSON_HEDLEY_MSVC_VERSION_CHECK +#undef JSON_HEDLEY_NEVER_INLINE +#undef JSON_HEDLEY_NON_NULL +#undef JSON_HEDLEY_NO_ESCAPE +#undef JSON_HEDLEY_NO_RETURN +#undef JSON_HEDLEY_NO_THROW +#undef JSON_HEDLEY_NULL +#undef JSON_HEDLEY_PELLES_VERSION +#undef JSON_HEDLEY_PELLES_VERSION_CHECK +#undef JSON_HEDLEY_PGI_VERSION +#undef JSON_HEDLEY_PGI_VERSION_CHECK +#undef JSON_HEDLEY_PREDICT +#undef JSON_HEDLEY_PRINTF_FORMAT +#undef JSON_HEDLEY_PRIVATE +#undef JSON_HEDLEY_PUBLIC +#undef JSON_HEDLEY_PURE +#undef JSON_HEDLEY_REINTERPRET_CAST +#undef JSON_HEDLEY_REQUIRE +#undef JSON_HEDLEY_REQUIRE_CONSTEXPR +#undef JSON_HEDLEY_REQUIRE_MSG +#undef JSON_HEDLEY_RESTRICT +#undef JSON_HEDLEY_RETURNS_NON_NULL +#undef JSON_HEDLEY_SENTINEL +#undef JSON_HEDLEY_STATIC_ASSERT +#undef JSON_HEDLEY_STATIC_CAST +#undef JSON_HEDLEY_STRINGIFY +#undef JSON_HEDLEY_STRINGIFY_EX +#undef JSON_HEDLEY_SUNPRO_VERSION +#undef JSON_HEDLEY_SUNPRO_VERSION_CHECK +#undef JSON_HEDLEY_TINYC_VERSION +#undef JSON_HEDLEY_TINYC_VERSION_CHECK +#undef JSON_HEDLEY_TI_ARMCL_VERSION +#undef JSON_HEDLEY_TI_ARMCL_VERSION_CHECK +#undef JSON_HEDLEY_TI_CL2000_VERSION +#undef JSON_HEDLEY_TI_CL2000_VERSION_CHECK +#undef JSON_HEDLEY_TI_CL430_VERSION +#undef JSON_HEDLEY_TI_CL430_VERSION_CHECK +#undef JSON_HEDLEY_TI_CL6X_VERSION +#undef JSON_HEDLEY_TI_CL6X_VERSION_CHECK +#undef JSON_HEDLEY_TI_CL7X_VERSION +#undef JSON_HEDLEY_TI_CL7X_VERSION_CHECK +#undef JSON_HEDLEY_TI_CLPRU_VERSION +#undef JSON_HEDLEY_TI_CLPRU_VERSION_CHECK +#undef JSON_HEDLEY_TI_VERSION +#undef JSON_HEDLEY_TI_VERSION_CHECK +#undef JSON_HEDLEY_UNAVAILABLE +#undef JSON_HEDLEY_UNLIKELY +#undef JSON_HEDLEY_UNPREDICTABLE +#undef JSON_HEDLEY_UNREACHABLE +#undef JSON_HEDLEY_UNREACHABLE_RETURN +#undef JSON_HEDLEY_VERSION +#undef JSON_HEDLEY_VERSION_DECODE_MAJOR +#undef JSON_HEDLEY_VERSION_DECODE_MINOR +#undef JSON_HEDLEY_VERSION_DECODE_REVISION +#undef JSON_HEDLEY_VERSION_ENCODE +#undef JSON_HEDLEY_WARNING +#undef JSON_HEDLEY_WARN_UNUSED_RESULT +#undef JSON_HEDLEY_WARN_UNUSED_RESULT_MSG +#undef JSON_HEDLEY_FALL_THROUGH + + + +#endif // INCLUDE_NLOHMANN_JSON_HPP_ \ No newline at end of file diff --git a/plugins/z3_utils/include/z3_utils.h b/plugins/z3_utils/include/z3_utils.h index 5481059df73..e6865f89675 100644 --- a/plugins/z3_utils/include/z3_utils.h +++ b/plugins/z3_utils/include/z3_utils.h @@ -52,6 +52,15 @@ namespace hal */ z3::expr from_bf(const BooleanFunction& bf, z3::context& context, const std::map& var2expr = {}); + /** + * Creates a z3 expr from a binary representation of a value of arbitrary size. + * + * @param[in] ctx - The context where the new expression is created in. + * @param[in] bit_string - The binary string + * @returns A z3 expression equivalent to the Boolean function. + */ + Result value_from_binary_string(z3::context& context, const std::string& bit_string); + /** * Translates a z3 expression into an equivalent hal Boolean function. * @@ -129,6 +138,13 @@ namespace hal */ Result> get_subgraph_z3_functions(const std::vector& subgraph_gates, const std::vector& subgraph_outputs, z3::context& ctx); + /** + * TODO document + */ + Result simplify_local(const z3::expr& e, std::unordered_map& cache); + + Result simplify_local(const z3::expr& e, const bool check=false); + /** * Translates the expr to another context. * @@ -142,17 +158,30 @@ namespace hal * Compare two nets from two different netlist. * This is done on a functional level by buidling the subgraph function of each net considering all combinational gates of the netlist. * In order for this two work the sequential gates of both netlists must have identical names and only the combinational gates may differ. - * If replace_net_ids is set the function subtitutes the input nets of the functions with their source gate and pin. - * This allows to also change the output nets of the sequential gates. * * @param[in] netlist_a - The first netlist. * @param[in] netlist_b - The second netlist. * @param[in] net_a - First net, from netlist_a. * @param[in] net_b - Second net, from netlist_b. - * @param[in] replace_net_ids - If set, the input_net_ids are substituted by their source gate and pin. + * @param[in] fail_on_unknown - Determines whether the function returns false or true incase the SAT solver returns unknown. + * @param[in] solver_timeout - The timeout for the SAT solver query in seconds. + * @returns Ok and a Boolean indicating whether the two nets are functionally equivalent, an error otherwise. + */ + Result compare_nets(const Netlist* netlist_a, const Netlist* netlist_b, const Net* net_a, const Net* net_b, const bool fail_on_unknown = true, const u32 solver_timeout = 10); + + /** + * Compare pairs of nets from two different netlist. + * This is done on a functional level by buidling the subgraph function of each net considering all combinational gates of the netlist. + * In order for this two work the sequential gates of both netlists must have identical names and only the combinational gates may differ. + * + * @param[in] netlist_a - The first netlist. + * @param[in] netlist_b - The second netlist. + * @param[in] nets - The pairs of nets to compare against each other. + * @param[in] fail_on_unknown - Determines whether the function returns false or true incase the SAT solver returns unknown. + * @param[in] solver_timeout - The timeout for each SAT solver query in seconds. * @returns Ok and a Boolean indicating whether the two nets are functionally equivalent, an error otherwise. */ - Result compare_nets(const Netlist* netlist_a, const Netlist* netlist_b, const Net* net_a, const Net* net_b, bool replace_net_ids = true); + Result compare_nets(const Netlist* netlist_a, const Netlist* netlist_b, const std::vector>& nets, const bool fail_on_unknown = true, const u32 solver_timeout = 10); /** * Compares two netlist by finding a corresponding partner for each sequential gate in the netlist and checking whether they are identical. @@ -161,9 +190,11 @@ namespace hal * * @param[in] netlist_a - The first netlist. * @param[in] netlist_b - The second netlist. + * @param[in] fail_on_unknown - Determines whether the function returns false or true incase the SAT solver returns unknown. + * @param[in] solver_timeout - The timeout for each SAT solver query in seconds. * * @returns Ok and a Boolean indicating whether the two netlists are functionally equivalent, an error otherwise. */ - Result compare_netlists(const Netlist* netlist_a, const Netlist* netlist_b); + Result compare_netlists(const Netlist* netlist_a, const Netlist* netlist_b, const bool fail_on_unknown = true, const u32 solver_timeout = 10); } // namespace z3_utils } // namespace hal diff --git a/plugins/z3_utils/python/python_bindings.cpp b/plugins/z3_utils/python/python_bindings.cpp index 9aca23e8602..0181a7bd193 100644 --- a/plugins/z3_utils/python/python_bindings.cpp +++ b/plugins/z3_utils/python/python_bindings.cpp @@ -32,10 +32,79 @@ namespace hal py_z3_utils.def("get_version", &Z3UtilsPlugin::get_version); py_z3_utils.def("get_subgraph_function", &Z3UtilsPlugin::get_subgraph_function_py); + py_z3_utils.def_static( + "compare_nets", + [](const Netlist* netlist_a, const Netlist* netlist_b, const Net* net_a, const Net* net_b, const bool fail_on_unknown = true, const u32 solver_timeout = 10) -> std::optional { + auto res = z3_utils::compare_nets(netlist_a, netlist_b, net_a, net_b, fail_on_unknown, solver_timeout); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("netlist_a"), + py::arg("netlist_b"), + py::arg("net_a"), + py::arg("net_b"), + py::arg("fail_on_unknown") = true, + py::arg("solver_timeout") = 10, + R"( + Compare two nets from two different netlist. + This is done on a functional level by buidling the subgraph function of each net considering all combinational gates of the netlist. + In order for this two work the sequential gates of both netlists must have identical names and only the combinational gates may differ. + + :param hal_py.Netlist netlist_a: First netlist. + :param hal_py.Netlist netlist_b: Second netlist. + :param hal_py.Net net_a: First net. + :param hal_py.Net net_b: Second net. + :param bool fail_on_unknown: Determines whether the function returns false or true incase the SAT solver returns unknown. + :param int solver_timeout; The timeout for each SAT solver query in seconds. + :returns: A Boolean indicating whether the two nets are functionally equivalent on success, None otherwise. + :rtype: bool or None + )"); + + py_z3_utils.def_static( + "compare_nets", + [](const Netlist* netlist_a, const Netlist* netlist_b, const std::vector>& nets, const bool fail_on_unknown = true, const u32 solver_timeout = 10) + -> std::optional { + auto res = z3_utils::compare_nets(netlist_a, netlist_b, nets, fail_on_unknown, solver_timeout); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("netlist_a"), + py::arg("netlist_b"), + py::arg("nets"), + py::arg("fail_on_unknown") = true, + py::arg("solver_timeout") = 10, + R"( + Compare two nets from two different netlist. + This is done on a functional level by buidling the subgraph function of each net considering all combinational gates of the netlist. + In order for this two work the sequential gates of both netlists must have identical names and only the combinational gates may differ. + + :param hal_py.Netlist netlist_a: First netlist. + :param hal_py.Netlist netlist_b: Second netlist. + :param list[tuple(hal_py.Net, hal_py.Net)] nets : The pairs of nets to compare against each other. + :param bool fail_on_unknown: Determines whether the function returns false or true incase the SAT solver returns unknown. + :param int solver_timeout; The timeout for each SAT solver query in seconds. + :returns: A Boolean indicating whether the two nets are functionally equivalent on success, None otherwise. + :rtype: bool or None + )"); + py_z3_utils.def_static( "compare_netlists", - [](const Netlist* netlist_a, const Netlist* netlist_b) -> std::optional { - auto res = z3_utils::compare_netlists(netlist_a, netlist_b); + [](const Netlist* netlist_a, const Netlist* netlist_b, const bool fail_on_unknown = true, const u32 solver_timeout = 10) -> std::optional { + auto res = z3_utils::compare_netlists(netlist_a, netlist_b, fail_on_unknown, solver_timeout); if (res.is_ok()) { return res.get(); @@ -48,6 +117,8 @@ namespace hal }, py::arg("netlist_a"), py::arg("netlist_b"), + py::arg("fail_on_unknown") = true, + py::arg("solver_timeout") = 10, R"( Compares two netlist by finding a corresponding partner for each sequential gate in the netlist and checking whether they are identical. This is done on a functional level by buidling the subgraph function of all their input nets considering all combinational gates of the netlist. @@ -55,6 +126,8 @@ namespace hal :param hal_py.Netlist netlist_a: First netlist to compare. :param hal_py.Netlist netlist_b: Second netlist to compare. + :param bool fail_on_unknown: Determines whether the function returns false or true incase the SAT solver returns unknown. + :param int solver_timeout; The timeout for each SAT solver query in seconds. :returns: A Boolean indicating whether the two netlists are functionally equivalent on success, None otherwise. :rtype: bool or None )"); diff --git a/plugins/z3_utils/src/compare_nets.cpp b/plugins/z3_utils/src/compare_nets.cpp index f9abf86781a..1d9196e0299 100644 --- a/plugins/z3_utils/src/compare_nets.cpp +++ b/plugins/z3_utils/src/compare_nets.cpp @@ -1,110 +1,242 @@ +#include "hal_core/netlist/boolean_function/solver.h" #include "hal_core/netlist/decorators/boolean_function_net_decorator.h" +#include "hal_core/netlist/decorators/subgraph_netlist_decorator.h" +#include "hal_core/netlist/module.h" #include "hal_core/netlist/gate.h" #include "hal_core/netlist/net.h" #include "hal_core/netlist/netlist.h" #include "hal_core/utilities/log.h" +#include "z3_utils/include/utils/json.hpp" #include "z3_utils/include/z3_utils.h" + namespace hal { namespace z3_utils { - Result compare_nets(const Netlist* netlist_a, const Netlist* netlist_b, const Net* net_a, const Net* net_b, bool replace_net_ids) + // NOTE this is almost an exact copy of the subgraph function generator with the small addition to pass a variable name prefix. + // This allows us to generate distinct net variables for two different netlists by passing differend prefixes to the function (netlist_a_net_1 vs. netlist_b_net_1) + namespace { - if (netlist_a == nullptr) + Result get_prefixed_function_of_net(const std::vector& subgraph_gates, + const Net* net, + const std::string& variable_prefix, + z3::context& ctx, + std::map& net_cache, + std::map, BooleanFunction>& gate_cache) { - return ERR("cannot compare nets: netlist_a is a nullptr!"); - } + if (const auto it = net_cache.find(net->get_id()); it != net_cache.end()) + { + return OK(it->second); + } - if (netlist_b == nullptr) - { - return ERR("cannot compare nets: netlist_b is a nullptr!"); - } + const std::vector sources = net->get_sources(); - if (net_a == nullptr) - { - return ERR("cannot compare nets: net_a is a nullptr!"); - } + // net is multi driven + if (sources.size() > 1) + { + return ERR("cannot get Boolean z3 function of net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + ": net is multi driven."); + } - if (net_b == nullptr) - { - return ERR("cannot compare nets: net_b is a nullptr!"); - } + // net has no source + if (sources.empty()) + { + const std::string var_name = variable_prefix + BooleanFunctionNetDecorator(*net).get_boolean_variable_name(); + z3::expr ret = ctx.bv_const(var_name.c_str(), 1); + net_cache.insert({net->get_id(), ret}); + return OK(ret); + } - std::vector gates_a = netlist_a->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); - std::vector gates_b = netlist_b->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + const Endpoint* src_ep = sources.front(); - z3::context ctx; + if (src_ep->get_gate() == nullptr) + { + return ERR("cannot get Boolean z3 function of net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + ": net source is null."); + } - z3::expr bf_a(ctx); - z3::expr bf_b(ctx); + const Gate* src = src_ep->get_gate(); - // std::unordered_set input_net_ids_a; - // std::unordered_set input_net_ids_b; + // source is not in subgraph gates + if (std::find(subgraph_gates.begin(), subgraph_gates.end(), src) == subgraph_gates.end()) + { + const std::string var_name = variable_prefix + BooleanFunctionNetDecorator(*net).get_boolean_variable_name(); + z3::expr ret = ctx.bv_const(var_name.c_str(), 1); + net_cache.insert({net->get_id(), ret}); + return OK(ret); + } - // if the net has no source just use itself as boolean function - if (net_a->get_sources().size() == 0) - { - bf_a = ctx.bv_const(std::to_string(net_a->get_id()).c_str(), 1); + BooleanFunction bf; + if (const auto it = gate_cache.find({src->get_id(), src_ep->get_pin()}); it == gate_cache.end()) + { + const auto bf_res = src->get_resolved_boolean_function(src_ep->get_pin()); + if (bf_res.is_error()) + { + return ERR_APPEND(bf_res.get_error(), + "cannot get Boolean z3 function of net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + ": failed to get function of gate."); + } + bf = bf_res.get(); + + gate_cache.insert({{src->get_id(), src_ep->get_pin()}, bf}); + } + else + { + bf = it->second; + } + + std::map input_to_expr; + + for (const std::string& in_net_str : bf.get_variable_names()) + { + const auto in_net_res = BooleanFunctionNetDecorator::get_net_from(src->get_netlist(), in_net_str); + if (in_net_res.is_error()) + { + return ERR_APPEND(in_net_res.get_error(), + "cannot get Boolean z3 function of net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + ": failed to reconstruct input net from variable " + + in_net_str + "."); + } + const auto in_net = in_net_res.get(); + + const auto in_bf_res = get_prefixed_function_of_net(subgraph_gates, in_net, variable_prefix, ctx, net_cache, gate_cache); + if (in_bf_res.is_error()) + { + // NOTE since this can lead to a deep recursion we do not append the error and instead only propagate it. + return in_bf_res; + } + const auto in_bf = in_bf_res.get(); + + input_to_expr.insert({in_net_str, in_bf}); + } + + z3::expr ret = z3_utils::from_bf(bf, ctx, input_to_expr).simplify(); + net_cache.insert({net->get_id(), ret}); + + return OK(ret); } - else + + Result get_prefixed_subgraph_z3_function_internal(const std::vector& subgraph_gates, + const Net* net, + const std::string& variable_prefix, + z3::context& ctx, + std::map& net_cache, + std::map, BooleanFunction>& gate_cache) { - const auto bf_res = z3_utils::get_subgraph_z3_function(gates_a, net_a, ctx); - if (bf_res.is_error()) + // check validity of subgraph_gates + if (subgraph_gates.empty()) { - return ERR_APPEND(bf_res.get_error(), - "cannot compare net A " + net_a->get_name() + " with ID " + std::to_string(net_a->get_id()) + " with net B " + net_b->get_name() + " with ID " - + std::to_string(net_b->get_id()) + ": failed to build subgraph function for net a"); + return ERR("could not get subgraph z3 function of net '" + net->get_name() + "' with ID " + std::to_string(net->get_id()) + ": subgraph contains no gates"); + } + else if (std::any_of(subgraph_gates.begin(), subgraph_gates.end(), [](const Gate* g) { return g == nullptr; })) + { + return ERR("could not get subgraph z3 function of net '" + net->get_name() + "' with ID " + std::to_string(net->get_id()) + ": subgraph contains a gate that is a 'nullptr'"); + } + else if (net == nullptr) + { + return ERR("could not get subgraph z3 function: net is a 'nullptr'"); + } + else if (net->get_num_of_sources() > 1) + { + return ERR("could not get subgraph z3 function of net '" + net->get_name() + "' with ID " + std::to_string(net->get_id()) + ": net has more than one source"); } - bf_a = bf_res.get(); + // else if (net->is_global_input_net()) + // { + // const auto net_dec = BooleanFunctionNetDecorator(*net); + // return OK(ctx.bv_const(net_dec.get_boolean_variable_name().c_str(), 1)); + // } + // else if (net->get_num_of_sources() == 0) + // { + // return ERR("could not get subgraph function of net '" + net->get_name() + "' with ID " + std::to_string(net->get_id()) + ": net has no sources"); + // } + + return get_prefixed_function_of_net(subgraph_gates, net, variable_prefix, ctx, net_cache, gate_cache); } - // if the net has no source just use itself as boolean function - if (net_b->get_sources().size() == 0) + Result get_prefixed_subgraph_z3_function(const std::vector& subgraph_gates, const Net* subgraph_output, const std::string& variable_prefix, z3::context& ctx) { - bf_b = ctx.bv_const(std::to_string(net_b->get_id()).c_str(), 1); + std::map net_cache; + std::map, BooleanFunction> gate_cache; + + return get_prefixed_subgraph_z3_function_internal(subgraph_gates, subgraph_output, variable_prefix, ctx, net_cache, gate_cache); } - else + } // namespace + + namespace + { + std::unordered_map> restore_ff_replacements(const Netlist* nl) { - const auto bf_res = z3_utils::get_subgraph_z3_function(gates_b, net_b, ctx); - if (bf_res.is_error()) + std::unordered_map> replacements; + + for (auto& g : nl->get_gates()) { - return ERR_APPEND(bf_res.get_error(), - "cannot compare net A " + net_a->get_name() + " with ID " + std::to_string(net_a->get_id()) + " with net B " + net_b->get_name() + " with ID " - + std::to_string(net_b->get_id()) + ": failed to build subgraph function for net b"); + if (g->has_data("preprocessing_information", "replaced_gates")) + { + const auto& [_, s] = g->get_data("preprocessing_information", "replaced_gates"); + std::vector replaced_gate_names = nlohmann::json::parse(s); + replacements.insert({g, replaced_gate_names}); + } } - bf_b = bf_res.get(); - } - z3::solver s(ctx); + return replacements; + } + } // namespace - if (replace_net_ids) + namespace + { + Result substitute_net_ids(z3::context& ctx, z3::solver& s, const std::vector& nets, const std::string& variable_prefix, const Netlist* nl) { - const auto input_net_ids_a = z3_utils::extract_net_ids(bf_a); - const auto input_net_ids_b = z3_utils::extract_net_ids(bf_b); - - // replace nets form netlist_a - for (const u32 net_id : input_net_ids_a) + // replace nets + for (const auto& net : nets) { - const Net* net = netlist_a->get_net_by_id(net_id); if (net->get_sources().size() > 1) { - return ERR("cannot compare net A " + net_a->get_name() + " with ID " + std::to_string(net_a->get_id()) + " with net B " + net_b->get_name() + " with ID " - + std::to_string(net_b->get_id()) + ": Cannot replace net id for net " + net->get_name() + " with ID " + std::to_string(net->get_id()) - + " because it is multi driven!"); + return ERR("cannot replace net id for net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + " because it is multi driven!"); + } + + const std::string var_name = variable_prefix + BooleanFunctionNetDecorator(*net).get_boolean_variable_name(); + const auto sources = net->get_sources([](const Endpoint* ep) { return (ep->get_gate() != nullptr) && (ep->get_gate()->get_type()->has_property(GateTypeProperty::sequential)); }); + if (net->is_global_input_net()) + { + const auto pin = nl->get_top_module()->get_pin_by_net(net); + + if (pin == nullptr) + { + return ERR("cannot replace net id for net " + net->get_name() + " with ID " + std::to_string(net->get_id()) + ": net is global input but unable to find pin at top module!"); + } + + const z3::expr new_expr = ctx.bv_const(("GLOBAL_IN_" + pin->get_name()).c_str(), 1); + const z3::expr net_expr = ctx.bv_const(var_name.c_str(), 1); + + // TODO remove + // std::cout << "Added global IO constraint: " << (net_expr == new_expr) << std::endl; + + s.add(net_expr == new_expr); + continue; } - if (net->get_sources().empty()) + + if (sources.empty()) { - // TODO this is not a good solution, since this will break for global input that do not share an id. - log_debug("z3_utils", "No source found for net {}. Cannot replace net and will continue with id!", net_id); + // TODO this is not an ideal solution, but i dont know a better one + log_debug("z3_utils", "No source found for net {}. Cannot replace net and will rename with net name {}!", net->get_id(), net->get_name()); + + const z3::expr new_expr = ctx.bv_const(net->get_name().c_str(), 1); + const z3::expr net_expr = ctx.bv_const(var_name.c_str(), 1); + + // TODO remove + // std::cout << "Added constraint: " << (net_expr == new_expr) << std::endl; + + s.add(net_expr == new_expr); continue; } - const Endpoint* src = net->get_sources().front(); + const Endpoint* src = sources.front(); if (src->get_gate() == nullptr) { - log_warning("z3_utils", "No source gate found for net {}. Cannot replace net and will continue with id!", net_id); + log_warning("z3_utils", "No source gate found for net {}. Cannot replace net and will rename with net name {}!", net->get_id(), net->get_name()); + + const z3::expr new_expr = ctx.bv_const(net->get_name().c_str(), 1); + const z3::expr net_expr = ctx.bv_const(var_name.c_str(), 1); + + s.add(net_expr == new_expr); continue; } @@ -112,83 +244,281 @@ namespace hal const std::string src_pin = src->get_pin()->get_name(); const std::string name = src_gate_name + "_" + src_pin; const z3::expr new_expr = ctx.bv_const(name.c_str(), 1); - const z3::expr net_expr = ctx.bv_const(BooleanFunctionNetDecorator(*net).get_boolean_variable_name().c_str(), 1); + const z3::expr net_expr = ctx.bv_const(var_name.c_str(), 1); s.add(net_expr == new_expr); } + return OK({}); + } + + /* + Result substitute_net_ids(z3::context& ctx, z3::solver& s, const z3::expr& bf, const std::string& variable_prefix, const Netlist* nl) + { + const auto input_vars = z3_utils::get_variable_names(bf); + + std::vector nets; + // replace nets form netlist_b - for (const u32 net_id : input_net_ids_b) + for (const std::string var : input_vars) { - const Net* net = netlist_b->get_net_by_id(net_id); - if (net->get_sources().size() > 1) + auto net_id_res = BooleanFunctionNetDecorator::get_net_id_from(utils::replace(var, variable_prefix, std::string(""))); + if (net_id_res.is_error()) { - return ERR("cannot compare net A " + net_a->get_name() + " with ID " + std::to_string(net_a->get_id()) + " with net B " + net_b->get_name() + " with ID " - + std::to_string(net_b->get_id()) + ": Cannot replace net id for net " + net->get_name() + " with ID " + std::to_string(net->get_id()) - + " because it is multi driven!"); + return ERR_APPEND(net_id_res.get_error(), "cannot replace net id for unknown net: failed to extract id from variable name."); } - if (net->get_sources().empty()) + const u32 net_id = net_id_res.get(); + + Net* net = nl->get_net_by_id(net_id); + nets.push_back(net); + } + + return substitute_net_ids(ctx, s, nets, variable_prefix, nl); + } + */ + + void add_replacements_equal_constraints(z3::context& ctx, z3::solver& s, const std::unordered_map>& replacements) + { + for (const auto& [g, replaced_names] : replacements) + { + for (const auto& ep : g->get_fan_out_endpoints()) { - // TODO this is not a good solution, since this will break for global input that do not share an id. - log_debug("z3_utils", "No source found for net {}. Cannot replace net and will continue with id!", net_id); - continue; + const std::string g_name = g->get_name() + "_" + ep->get_pin()->get_name(); + const z3::expr g_expr = ctx.bv_const(g_name.c_str(), 1); + + for (const auto& name : replaced_names) + { + const std::string replaced_name = name + "_" + ep->get_pin()->get_name(); + const z3::expr replaced_expr = ctx.bv_const(replaced_name.c_str(), 1); + + s.add(g_expr == replaced_expr); + } } + } - const Endpoint* src = net->get_sources().front(); + return; + } - if (src->get_gate() == nullptr) + Result setup_solver(z3::context& ctx, z3::solver& s, const Netlist* netlist_a, const Netlist* netlist_b, const std::unordered_map>& ff_replacements_a, const std::unordered_map>& ff_replacements_b) + { + std::vector sub_nets_a; + for (const auto& n : netlist_a->get_nets()) + { + const auto comb_sources = n->get_sources([](const Endpoint* ep) { return (ep->get_gate() != nullptr) && (ep->get_gate()->get_type()->has_property(GateTypeProperty::combinational)); }); + if (comb_sources.empty()) { - log_warning("z3_utils", "No source gate found for net {}. Cannot replace net and will continue with id!", net_id); - continue; + sub_nets_a.push_back(n); } + } + std::vector sub_nets_b; + for (const auto& n : netlist_b->get_nets()) + { + const auto comb_sources = n->get_sources([](const Endpoint* ep) { return (ep->get_gate() != nullptr) && (ep->get_gate()->get_type()->has_property(GateTypeProperty::combinational)); }); + if (comb_sources.empty()) + { + sub_nets_b.push_back(n); + } + } - const std::string src_gate_name = src->get_gate()->get_name(); - const std::string src_pin = src->get_pin()->get_name(); - const std::string name = src_gate_name + "_" + src_pin; - const z3::expr new_expr = ctx.bv_const(name.c_str(), 1); - const z3::expr net_expr = ctx.bv_const(BooleanFunctionNetDecorator(*net).get_boolean_variable_name().c_str(), 1); + auto sub_a_res = substitute_net_ids(ctx, s, sub_nets_a, "netlist_a_", netlist_a); + if (sub_a_res.is_error()) + { + return ERR_APPEND(sub_a_res.get_error(), "cannot compare netA" + std::to_string(netlist_a->get_id())); + } + auto sub_b_res = substitute_net_ids(ctx, s, sub_nets_b, "netlist_b_", netlist_b); + if (sub_b_res.is_error()) + { + return ERR_APPEND(sub_b_res.get_error(), "cannot compare net A " + std::to_string(netlist_b->get_id())); + } - s.add(net_expr == new_expr); + add_replacements_equal_constraints(ctx, s, ff_replacements_a); + add_replacements_equal_constraints(ctx, s, ff_replacements_b); + + return OK({}); + } + + Result compare_nets_internal(z3::context& ctx, + z3::solver& s, + // const Netlist* netlist_a, + // const Netlist* netlist_b, + const Net* net_a, + const Net* net_b, + const std::vector& gates_a, + const std::vector& gates_b, + const bool fail_on_unknown, + const u32 solver_timeout) + { + // TODO Debug printing remove + /* + std::cout << "Comparing net A: " << net_a->get_id() << " / " << net_a->get_name() << " with " << net_b->get_id() << " / " << net_b->get_name() << std::endl; + const auto inputs_a = SubgraphNetlistDecorator(*netlist_a).get_subgraph_function_inputs(gates_a, net_a).get(); + std::cout << "Function A inputs: " << inputs_a.size() << std::endl; + const auto inputs_b = SubgraphNetlistDecorator(*netlist_b).get_subgraph_function_inputs(gates_b, net_b).get(); + std::cout << "Function B inputs: " << inputs_b.size() << std::endl; + */ + + const auto bf_res_a = z3_utils::get_prefixed_subgraph_z3_function(gates_a, net_a, "netlist_a_", ctx); + if (bf_res_a.is_error()) + { + return ERR_APPEND(bf_res_a.get_error(), + "cannot compare net A " + net_a->get_name() + " with ID " + std::to_string(net_a->get_id()) + " with net B " + net_b->get_name() + " with ID " + + std::to_string(net_b->get_id()) + ": failed to build subgraph function for net a"); + } + const auto bf_a = bf_res_a.get(); + + const auto bf_res_b = z3_utils::get_prefixed_subgraph_z3_function(gates_b, net_b, "netlist_b_", ctx); + if (bf_res_b.is_error()) + { + return ERR_APPEND(bf_res_b.get_error(), + "cannot compare net A " + net_a->get_name() + " with ID " + std::to_string(net_a->get_id()) + " with net B " + net_b->get_name() + " with ID " + + std::to_string(net_b->get_id()) + ": failed to build subgraph function for net b"); + } + const auto bf_b = bf_res_b.get(); + + auto config = hal::SMT::QueryConfig().with_timeout(solver_timeout).without_model_generation(); + + // TODO we can enable this again after we add time out capabilities to the bitwuzla call + // if (SMT::Solver::has_local_solver_for(hal::SMT::SolverType::Bitwuzla, hal::SMT::SolverCall::Library)) + // { + // config = config.with_solver(hal::SMT::SolverType::Bitwuzla).with_call(hal::SMT::SolverCall::Library); + // } + + s.add(bf_a != bf_b); + auto smt2_str = s.to_smt2(); + auto query_res = SMT::Solver::query_local(config, smt2_str); + if (query_res.is_error()) + { + return ERR_APPEND(query_res.get_error(), "cannot compare net A " + net_a->get_name() + " with ID " + std::to_string(net_a->get_id()) + " with net B " + net_b->get_name() + " with ID " + + std::to_string(net_b->get_id()) + ": failed solver_check"); + } + const auto check_result = query_res.get(); + + if (check_result.is_unsat()) + { + return OK(true); + } + + if (check_result.is_unknown()) + { + return OK(!fail_on_unknown); } + + return OK(false); + } + } // namespace + + Result compare_nets(const Netlist* netlist_a, const Netlist* netlist_b, const Net* net_a, const Net* net_b, const bool fail_on_unknown, const u32 solver_timeout) + { + if (netlist_a == nullptr) + { + return ERR("cannot compare nets: netlist_a is a nullptr!"); + } + + if (netlist_b == nullptr) + { + return ERR("cannot compare nets: netlist_b is a nullptr!"); } - s.add(bf_a != bf_b); - const z3::check_result c = s.check(); + if (net_a == nullptr) + { + return ERR("cannot compare nets: net_a is a nullptr!"); + } - if (c == z3::unsat) + if (net_b == nullptr) { - return OK(true); + return ERR("cannot compare nets: net_b is a nullptr!"); } - std::cout << "A: " << bf_a << std::endl; - std::cout << "B: " << bf_b << std::endl; - std::cout << "Model: " << s.get_model() << std::endl; + z3::context ctx; + z3::solver s(ctx); + + const auto ff_replacements_a = restore_ff_replacements(netlist_a); + const auto ff_replacements_b = restore_ff_replacements(netlist_b); + + const std::vector comb_gates_a = netlist_a->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + const std::vector comb_gates_b = netlist_b->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); - return OK(false); + auto setup_res = setup_solver(ctx, s, netlist_a, netlist_b, ff_replacements_a, ff_replacements_b); + if (setup_res.is_error()) + { + return ERR_APPEND(setup_res.get_error(), "cannot compare netlist a with ID " + std::to_string(netlist_a->get_id()) + " netlist b with ID " + std::to_string(netlist_b->get_id()) + ": failed to setup solver"); + } + + return compare_nets_internal(ctx, s, net_a, net_b, comb_gates_a, comb_gates_b, fail_on_unknown, solver_timeout); } - Result compare_netlists(const Netlist* netlist_a, const Netlist* netlist_b) + Result compare_nets(const Netlist* netlist_a, const Netlist* netlist_b, const std::vector>& nets, const bool fail_on_unknown, const u32 solver_timeout) { - std::vector seq_gates_a = netlist_a->get_gates([](const Gate* g) { - auto props = g->get_type()->get_properties(); - return props.find(GateTypeProperty::sequential) != props.end(); - }); - std::vector seq_gates_b = netlist_b->get_gates([](const Gate* g) { - auto props = g->get_type()->get_properties(); - return props.find(GateTypeProperty::sequential) != props.end(); - }); - - if (seq_gates_a.size() != seq_gates_b.size()) + if (netlist_a == nullptr) { - log_debug("iphone_tools", - "netlist a with ID {} and netlist b with ID {} are not equal: unequal amount of sequential gates! {} vs. {}", - netlist_a->get_id(), - netlist_b->get_id(), - seq_gates_a.size(), - seq_gates_b.size()); - return OK(false); + return ERR("cannot compare nets: netlist_a is a nullptr!"); + } + + if (netlist_b == nullptr) + { + return ERR("cannot compare nets: netlist_b is a nullptr!"); + } + + z3::context ctx; + z3::solver s(ctx); + + const auto ff_replacements_a = restore_ff_replacements(netlist_a); + const auto ff_replacements_b = restore_ff_replacements(netlist_b); + + const std::vector comb_gates_a = netlist_a->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + const std::vector comb_gates_b = netlist_b->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + + auto setup_res = setup_solver(ctx, s, netlist_a, netlist_b, ff_replacements_a, ff_replacements_b); + if (setup_res.is_error()) + { + return ERR_APPEND(setup_res.get_error(), "cannot compare netlist a with ID " + std::to_string(netlist_a->get_id()) + " netlist b with ID " + std::to_string(netlist_b->get_id()) + ": failed to setup solver"); + } + + for (const auto& [net_a, net_b] : nets) + { + if ((net_a == nullptr) && (net_b == nullptr)) + { + continue; + } + + if ((net_a == nullptr) || (net_b == nullptr)) + { + return OK(false); + } + + s.push(); + auto comp_res = compare_nets_internal(ctx, s, net_a, net_b, comb_gates_a, comb_gates_b, fail_on_unknown, solver_timeout); + s.pop(); + + if (comp_res.is_error()) + { + return ERR_APPEND(comp_res.get_error(), + "cannot compare netlist a with ID " + std::to_string(netlist_a->get_id()) + " netlist b with ID " + std::to_string(netlist_b->get_id()) + + ": failed net comparison"); + } + const auto eq = comp_res.get(); + + if (!eq) + { + log_warning("z3_utils", "Failed net comparison for net A {} / {} and net B {} / {}", net_a->get_id(), net_a->get_name(), net_b->get_id(), net_b->get_name()); + return OK(false); + } } + return OK(true); + } + + Result compare_netlists(const Netlist* netlist_a, const Netlist* netlist_b, const bool fail_on_unknown, const u32 solver_timeout) + { + const std::vector seq_gates_a = netlist_a->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::sequential); }); + const std::vector seq_gates_b = netlist_b->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::sequential); }); + + const std::vector comb_gates_a = netlist_a->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + const std::vector comb_gates_b = netlist_b->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::combinational); }); + + const auto ff_replacements_a = restore_ff_replacements(netlist_a); + const auto ff_replacements_b = restore_ff_replacements(netlist_b); + // TODO let the user provide a name mapping from gate a to net b incase there was a renaming of the sequential gates std::unordered_map gate_name_to_gate_a; std::unordered_map gate_name_to_gate_b; @@ -196,17 +526,33 @@ namespace hal for (const auto& gate_a : seq_gates_a) { gate_name_to_gate_a[gate_a->get_name()] = gate_a; + + if (const auto& it = ff_replacements_a.find(gate_a); it != ff_replacements_a.end()) + { + for (const auto& s : it->second) + { + gate_name_to_gate_a[s] = gate_a; + } + } } for (const auto& gate_b : seq_gates_b) { gate_name_to_gate_b[gate_b->get_name()] = gate_b; + + if (const auto& it = ff_replacements_b.find(gate_b); it != ff_replacements_b.end()) + { + for (const auto& s : it->second) + { + gate_name_to_gate_b[s] = gate_b; + } + } } for (const auto& [gate_a_name, gate_a] : gate_name_to_gate_a) { if (const auto gate_b_it = gate_name_to_gate_b.find(gate_a_name); gate_b_it == gate_name_to_gate_b.end()) { - log_debug("iphone_tools", + log_debug("z3_utils", "netlist a with ID {} and netlist b with ID {} are not equal: gate a {} with ID {} is included in netlist a but does not have a counter part in netlist b!", netlist_a->get_id(), netlist_b->get_id(), @@ -215,65 +561,100 @@ namespace hal return OK(false); } } + for (const auto& [gate_b_name, gate_b] : gate_name_to_gate_b) + { + if (const auto gate_a_it = gate_name_to_gate_a.find(gate_b_name); gate_a_it == gate_name_to_gate_a.end()) + { + log_debug("z3_utils", + "netlist a with ID {} and netlist b with ID {} are not equal: gate b {} with ID {} is included in netlist b but does not have a counter part in netlist a!", + netlist_a->get_id(), + netlist_b->get_id(), + gate_b->get_name(), + gate_b->get_id()); + return OK(false); + } + } - log_info("iphone_tools", "Checking {} sequential gates for equality.", seq_gates_a.size()); + log_info("z3_utils", "Checking {} sequential gates for equality.", seq_gates_a.size()); + z3::context ctx; + z3::solver s(ctx); + + auto setup_res = setup_solver(ctx, s, netlist_a, netlist_b, ff_replacements_a, ff_replacements_b); + if (setup_res.is_error()) + { + return ERR_APPEND(setup_res.get_error(), "cannot compare netlist a with ID " + std::to_string(netlist_a->get_id()) + " netlist b with ID " + std::to_string(netlist_b->get_id()) + ": failed to setup solver"); + } + + std::set> to_compare; + + // find matching global output and add the to the comparison set + const auto out_pins_a = netlist_a->get_top_module()->get_output_pin_names(); + const auto out_pins_b = netlist_b->get_top_module()->get_output_pin_names(); + + auto all_out_pins = out_pins_a; + all_out_pins.insert(all_out_pins.end(), out_pins_b.begin(), out_pins_b.end()); + + for (const auto& pin : all_out_pins) + { + auto it_a = std::find(out_pins_a.begin(), out_pins_a.end(), pin); + if (it_a == out_pins_a.end()) + { + log_warning("z3_utils", + "netlist a with ID {} and netlist b with ID {} might not be equal: netlist a has output pin {} that does not exist in netlist b!", + netlist_a->get_id(), + netlist_b->get_id(), + pin); + continue; + } + + auto it_b = std::find(out_pins_b.begin(), out_pins_b.end(), pin); + if (it_b == out_pins_b.end()) + { + log_warning("z3_utils", + "netlist a with ID {} and netlist b with ID {} might not be equal: netlist a has output pin {} that does not exist in netlist b!", + netlist_a->get_id(), + netlist_b->get_id(), + pin); + continue; + } + + Net* net_a = netlist_a->get_top_module()->get_pin_by_name(pin)->get_net(); + Net* net_b = netlist_b->get_top_module()->get_pin_by_name(pin)->get_net(); + + to_compare.insert({net_a, net_b}); + } + + // add inputs of sequential gates to the comparison list for (const Gate* gate_a : seq_gates_a) { const Gate* gate_b = gate_name_to_gate_b.at(gate_a->get_name()); if (gate_a->get_type() != gate_b->get_type()) { - log_debug("iphone_tools", - "netlist a with ID {} and netlist b with ID {} are not equal: gate a {} with ID {} and gate b {} with ID {} do not have the same type! {} vs. {}", - netlist_a->get_id(), - netlist_b->get_id(), - gate_a->get_name(), - gate_a->get_id(), - gate_b->get_name(), - gate_b->get_id(), - gate_a->get_type()->get_name(), - gate_b->get_type()->get_name()); + log_warning("z3_utils", + "netlist a with ID {} and netlist b with ID {} are not equal: gate a {} with ID {} and gate b {} with ID {} do not have the same type! {} vs. {}", + netlist_a->get_id(), + netlist_b->get_id(), + gate_a->get_name(), + gate_a->get_id(), + gate_b->get_name(), + gate_b->get_id(), + gate_a->get_type()->get_name(), + gate_b->get_type()->get_name()); return OK(false); } for (const GatePin* pin : gate_a->get_type()->get_input_pins()) { - const Net* net_a = gate_a->get_fan_in_net(pin); - const Net* net_b = gate_b->get_fan_in_net(pin); - - if (net_a == nullptr && net_b == nullptr) - { - continue; - } - - const auto eq_res = z3_utils::compare_nets(netlist_a, netlist_b, net_a, net_b, true); - if (eq_res.is_error()) - { - return ERR_APPEND(eq_res.get_error(), - "cannot compare netlist a with ID " + std::to_string(netlist_a->get_id()) + " netlist b with ID " + std::to_string(netlist_b->get_id()) - + ": failed to compare nets at gate a " + gate_a->get_name() + " with ID " + std::to_string(gate_a->get_id()) + " and gate b" + gate_b->get_name() - + "with ID " + std::to_string(gate_b->get_id())); - } - const bool eq = eq_res.get(); + Net* net_a = gate_a->get_fan_in_net(pin); + Net* net_b = gate_b->get_fan_in_net(pin); - if (!eq) - { - log_debug("iphone_tools", - "netlist a with ID {} and netlist b with ID {} are not equal: gate a {} with ID {} and gate b {} with ID {} are not equal at pin {}", - netlist_a->get_id(), - netlist_b->get_id(), - gate_a->get_name(), - gate_a->get_id(), - gate_b->get_name(), - gate_b->get_id(), - pin->get_name()); - return OK(false); - } + to_compare.insert({net_a, net_b}); } } - return OK(true); + return compare_nets(netlist_a, netlist_b, utils::to_vector(to_compare), fail_on_unknown, solver_timeout); } } // namespace z3_utils } // namespace hal \ No newline at end of file diff --git a/plugins/z3_utils/src/converter/cpp_converter.cpp b/plugins/z3_utils/src/converter/cpp_converter.cpp index c85929eff47..7f98433ddbb 100644 --- a/plugins/z3_utils/src/converter/cpp_converter.cpp +++ b/plugins/z3_utils/src/converter/cpp_converter.cpp @@ -26,6 +26,7 @@ namespace hal } log_error("z3_utils", "Unkown operand format for {}!", operand); + exit(0); return "NOT IMPLEMENTED REACHED"; } diff --git a/plugins/z3_utils/src/simplification.cpp b/plugins/z3_utils/src/simplification.cpp new file mode 100644 index 00000000000..0106a97b86a --- /dev/null +++ b/plugins/z3_utils/src/simplification.cpp @@ -0,0 +1,2367 @@ +#include "z3_utils/include/z3_utils.h" + +#include + +namespace hal +{ + namespace BV_ConstantPropagation + { + /** + * Helper function to simplify a constant AND operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction And(const std::vector& p0, const std::vector& p1) + { + std::vector simplified; + simplified.reserve(p0.size()); + for (auto i = 0u; i < p0.size(); i++) + { + if ((p0[i] == 0) || (p1[i] == 0)) + { + simplified.emplace_back(BooleanFunction::Value::ZERO); + } + else if ((p0[i] == 1) && (p1[i] == 1)) + { + simplified.emplace_back(BooleanFunction::Value::ONE); + } + else + { + simplified.emplace_back(BooleanFunction::Value::X); + } + } + return BooleanFunction::Const(simplified); + } + + /** + * Helper function to simplify a constant OR operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Or(const std::vector& p0, const std::vector& p1) + { + std::vector simplified; + simplified.reserve(p0.size()); + for (auto i = 0u; i < p0.size(); i++) + { + if ((p0[i] == 0) && (p1[i] == 0)) + { + simplified.emplace_back(BooleanFunction::Value::ZERO); + } + else if ((p0[i] == 1) || (p1[i] == 1)) + { + simplified.emplace_back(BooleanFunction::Value::ONE); + } + else + { + simplified.emplace_back(BooleanFunction::Value::X); + } + } + return BooleanFunction::Const(simplified); + } + + /** + * Helper function to simplify a constant NOT operation. + * + * @param[in] p - Boolean function parameter. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Not(const std::vector& p) + { + std::vector simplified; + simplified.reserve(p.size()); + for (const auto& value : p) + { + if (value == BooleanFunction::Value::ZERO) + { + simplified.emplace_back(BooleanFunction::Value::ONE); + } + else if (value == BooleanFunction::Value::ONE) + { + simplified.emplace_back(BooleanFunction::Value::ZERO); + } + else + { + simplified.emplace_back(value); + } + } + return BooleanFunction::Const(simplified); + } + + /** + * Helper function to simplify a constant XOR operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Xor(const std::vector& p0, const std::vector& p1) + { + std::vector simplified; + simplified.reserve(p0.size()); + for (auto i = 0u; i < p0.size(); i++) + { + if (((p0[i] == 0) && (p1[i] == 1)) || ((p0[i] == 1) && (p1[i] == 0))) + { + simplified.emplace_back(BooleanFunction::Value::ONE); + } + else if (((p0[i] == 0) && (p1[i] == 0)) || ((p0[i] == 1) && (p1[i] == 1))) + { + simplified.emplace_back(BooleanFunction::Value::ZERO); + } + else + { + simplified.emplace_back(BooleanFunction::Value::X); + } + } + return BooleanFunction::Const(simplified); + } + + /** + * Helper function to simplify a constant ADD operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Add(const std::vector& p0, const std::vector& p1) + { + if (std::any_of(p0.begin(), p0.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; }) + || std::any_of(p1.begin(), p1.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; })) + { + return BooleanFunction::Const(std::vector(p0.size(), BooleanFunction::Value::X)); + } + + std::vector simplified; + simplified.reserve(p0.size()); + auto carry = BooleanFunction::Value::ZERO; + for (auto i = 0u; i < p0.size(); i++) + { + auto res = p0[i] + p1[i] + carry; + simplified.emplace_back(static_cast(res & 0x1)); + carry = static_cast(res >> 1); + } + return BooleanFunction::Const(simplified); + } + + /** + * Helper function to simplify a constant SUB operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Sub(const std::vector& p0, const std::vector& p1) + { + if (std::any_of(p0.begin(), p0.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; }) + || std::any_of(p1.begin(), p1.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; })) + { + return BooleanFunction::Const(std::vector(p0.size(), BooleanFunction::Value::X)); + } + + std::vector simplified; + simplified.reserve(p0.size()); + auto carry = BooleanFunction::Value::ONE; + for (auto i = 0u; i < p0.size(); i++) + { + auto res = p0[i] + !(p1[i]) + carry; + simplified.emplace_back(static_cast(res & 0x1)); + carry = static_cast(res >> 1); + } + return BooleanFunction::Const(simplified); + } + + /** + * Helper function to simplify a constant MUL operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Mul(const std::vector& p0, const std::vector& p1) + { + if (std::any_of(p0.begin(), p0.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; }) + || std::any_of(p1.begin(), p1.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; })) + { + return BooleanFunction::Const(std::vector(p0.size(), BooleanFunction::Value::X)); + } + + auto bitsize = p0.size(); + std::vector simplified(bitsize, BooleanFunction::Value::ZERO); + for (auto i = 0u; i < bitsize; i++) + { + auto carry = BooleanFunction::Value::ZERO; + for (auto j = 0u; j < bitsize - i; j++) + { + auto res = simplified[i + j] + (p0[i] & p1[j]) + carry; + simplified[i + j] = static_cast(res & 0x1); + carry = static_cast(res >> 1); + } + } + return BooleanFunction::Const(simplified); + } + + /** + * Helper function to simplify a constant SLE operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Sle(const std::vector& p0, const std::vector& p1) + { + if (std::any_of(p0.begin(), p0.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; }) + || std::any_of(p1.begin(), p1.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; })) + { + return BooleanFunction::Const({BooleanFunction::Value::X}); + } + + auto msb_p0 = p0.back(); + auto msb_p1 = p1.back(); + if (msb_p0 == BooleanFunction::Value::ONE && msb_p1 == BooleanFunction::Value::ZERO) + { + return BooleanFunction::Const(1, 1); + } + else if (msb_p0 == BooleanFunction::Value::ZERO && msb_p1 == BooleanFunction::Value::ONE) + { + return BooleanFunction::Const(0, 1); + } + + std::vector simplified; + u8 carry = 1; + u8 neq = 0; + u8 res = 0; + for (auto i = 0u; i < p0.size(); i++) + { + res = p0[i] + !(p1[i]) + carry; + carry = res >> 1; + neq |= res & 1; + } + + return BooleanFunction::Const({static_cast((res & 1) | !neq)}); + } + + /** + * Helper function to simplify a constant SLT operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Slt(const std::vector& p0, const std::vector& p1) + { + if (std::any_of(p0.begin(), p0.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; }) + || std::any_of(p1.begin(), p1.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; })) + { + return BooleanFunction::Const({BooleanFunction::Value::X}); + } + + auto msb_p0 = p0.back(); + auto msb_p1 = p1.back(); + if (msb_p0 == BooleanFunction::Value::ONE && msb_p1 == BooleanFunction::Value::ZERO) + { + return BooleanFunction::Const(1, 1); + } + else if (msb_p0 == BooleanFunction::Value::ZERO && msb_p1 == BooleanFunction::Value::ONE) + { + return BooleanFunction::Const(0, 1); + } + + std::vector simplified; + u8 res = 0; + u8 carry = 1; + for (auto i = 0u; i < p0.size(); i++) + { + res = p0[i] + !(p1[i]) + carry; + carry = (res >> 1) & 1; + } + + return BooleanFunction::Const({static_cast(res & 1)}); + } + + /** + * Helper function to simplify a constant ULE operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Ule(const std::vector& p0, const std::vector& p1) + { + if (std::any_of(p0.begin(), p0.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; }) + || std::any_of(p1.begin(), p1.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; })) + { + return BooleanFunction::Const({BooleanFunction::Value::X}); + } + + for (i32 i = p0.size() - 1; i >= 0; i--) + { + if (p0[i] == BooleanFunction::Value::ONE && p1[i] == BooleanFunction::Value::ZERO) + { + return BooleanFunction::Const(0, 1); + } + else if (p0[i] == BooleanFunction::Value::ZERO && p1[i] == BooleanFunction::Value::ONE) + { + return BooleanFunction::Const(1, 1); + } + } + return BooleanFunction::Const(1, 1); + } + + /** + * Helper function to simplify a constant ULT operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Ult(const std::vector& p0, const std::vector& p1) + { + if (std::any_of(p0.begin(), p0.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; }) + || std::any_of(p1.begin(), p1.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; })) + { + return BooleanFunction::Const({BooleanFunction::Value::X}); + } + + for (i32 i = p0.size() - 1; i >= 0; i--) + { + if (p0[i] == BooleanFunction::Value::ONE && p1[i] == BooleanFunction::Value::ZERO) + { + return BooleanFunction::Const(0, 1); + } + else if (p0[i] == BooleanFunction::Value::ZERO && p1[i] == BooleanFunction::Value::ONE) + { + return BooleanFunction::Const(1, 1); + } + } + return BooleanFunction::Const(0, 1); + } + + /** + * Helper function to simplify a constant ITE operation. + * + * @param[in] p0 - Boolean function parameter 0. + * @param[in] p1 - Boolean function parameter 1. + * @param[in] p2 - Boolean function parameter 2. + * @returns Boolean function with a simplified constant value. + */ + BooleanFunction Ite(const std::vector& p0, const std::vector& p1, const std::vector& p2) + { + if (p0.front() == BooleanFunction::Value::ONE) + { + return BooleanFunction::Const(p1); + } + else if (p0.front() == BooleanFunction::Value::ZERO) + { + return BooleanFunction::Const(p2); + } + else + { + return BooleanFunction::Const(std::vector(p0.size(), BooleanFunction::Value::X)); + } + } + + Result constant_propagation(const BooleanFunction::Node& node, std::vector&& p) + { + // if (node.get_arity() != p.size()) + // { + // return ERR("could not propagate constants: arity does not match number of parameters"); + // } + + std::vector> values; + for (const auto& parameter : p) + { + values.emplace_back(parameter.get_top_level_node().constant); + } + + switch (node.type) + { + case BooleanFunction::NodeType::And: + return OK(And(values[0], values[1])); + case BooleanFunction::NodeType::Or: + return OK(Or(values[0], values[1])); + case BooleanFunction::NodeType::Not: + return OK(Not(values[0])); + case BooleanFunction::NodeType::Xor: + return OK(Xor(values[0], values[1])); + + case BooleanFunction::NodeType::Add: + return OK(Add(values[0], values[1])); + case BooleanFunction::NodeType::Sub: + return OK(Sub(values[0], values[1])); + case BooleanFunction::NodeType::Mul: + return OK(Mul(values[0], values[1])); + + // case BooleanFunction::NodeType::Slice: { + // auto start = p[1].get_index_value().get(); + // auto end = p[2].get_index_value().get(); + // return OK(BooleanFunction::Const(std::vector(values[0].begin() + start, values[0].begin() + end + 1))); + // } + + // case BooleanFunction::NodeType::Concat: { + // values[1].insert(values[1].end(), values[0].begin(), values[0].end()); + // return OK(BooleanFunction::Const(values[1])); + // } + + // case BooleanFunction::NodeType::Zext: { + // values[0].resize(node.size, BooleanFunction::Value::ZERO); + // return OK(BooleanFunction::Const(values[0])); + // } + // case BooleanFunction::NodeType::Sext: { + // values[0].resize(node.size, static_cast(values[0].back())); + // return OK(BooleanFunction::Const(values[0])); + // } + + // case BooleanFunction::NodeType::Eq: + // return OK((values[0] == values[1]) ? BooleanFunction::Const(1, 1) : BooleanFunction::Const(0, 1)); + // case BooleanFunction::NodeType::Sle: + // return OK(Sle(values[0], values[1])); + // case BooleanFunction::NodeType::Slt: + // return OK(Slt(values[0], values[1])); + // case BooleanFunction::NodeType::Ule: + // return OK(Ule(values[0], values[1])); + // case BooleanFunction::NodeType::Ult: + // return OK(Ult(values[0], values[1])); + // case BooleanFunction::NodeType::Ite: + // return OK(Ite(values[0], values[1], values[2])); + + case BooleanFunction::NodeType::Sle: + // TODO implement for z3 where Boolean and bitvector sort exist + return OK(BooleanFunction()); + case BooleanFunction::NodeType::Slt: + // TODO implement for z3 where Boolean and bitvector sort exist + return OK(BooleanFunction()); + case BooleanFunction::NodeType::Ule: + // TODO implement for z3 where Boolean and bitvector sort exist + return OK(BooleanFunction()); + case BooleanFunction::NodeType::Ult: + // TODO implement for z3 where Boolean and bitvector sort exist + return OK(BooleanFunction()); + case BooleanFunction::NodeType::Ite: + // TODO implement for z3 where Boolean and bitvector sort exist + return OK(BooleanFunction()); + case BooleanFunction::NodeType::Eq: + // TODO implement for z3 where Boolean and bitvector sort exist + return OK(BooleanFunction()); + case BooleanFunction::NodeType::Zext: + // TODO implement for z3 where indices are not part of the parameters + return OK(BooleanFunction()); + case BooleanFunction::NodeType::Sext: + // TODO implement for z3 where indices are not part of the parameters + return OK(BooleanFunction()); + case BooleanFunction::NodeType::Slice: + // TODO implement for z3 where indices are not part of the parameters + return OK(BooleanFunction()); + case BooleanFunction::NodeType::Concat: + // TODO implement for z3 where indices are not part of the parameters + return OK(BooleanFunction()); + case BooleanFunction::NodeType::Sdiv: + // TODO implement + case BooleanFunction::NodeType::Udiv: + // TODO implement + case BooleanFunction::NodeType::Srem: + // TODO implement + case BooleanFunction::NodeType::Urem: + // TODO implement + default: + return ERR("could not propagate constants: not implemented for given node type"); + } + } + + } // namespace BV_ConstantPropagation + + namespace + { + bool is_x_y(const z3::expr& x, const z3::expr& y) + { + if (x.id() == y.id()) + { + return true; + } + + if (z3::eq(x, y)) + { + return true; + } + + return false; + } + + /** + * Helper function to check whether one of the two functions is just the other function negated. + */ + bool is_x_not_y(const z3::expr& x, const z3::expr& y) + { + const auto x_kind = x.decl().decl_kind(); + const auto y_kind = y.decl().decl_kind(); + + if (x_kind == Z3_OP_BNOT || x_kind == Z3_OP_NOT) + { + if (is_x_y(x.arg(0), y)) + { + return true; + } + } + if (y_kind == Z3_OP_BNOT || y_kind == Z3_OP_NOT) + { + if (is_x_y(y.arg(0), x)) + { + return true; + } + } + + return false; + } + + std::vector get_parameters(const z3::expr& e) + { + std::vector p; + for (u32 i = 0; i < e.num_args(); i++) + { + p.push_back(e.arg(i)); + } + + return p; + } + + bool is_ones(const z3::expr& e) + { + if (!e.is_numeral()) + { + return false; + } + + const std::string val_str = Z3_get_numeral_binary_string(e.ctx(), e); + + // Check if the binary representation consists only of '1's + return val_str.find('0') == std::string::npos; + } + + bool is_zero(const z3::expr& e) + { + if (!e.is_numeral()) + { + return false; + } + + const std::string val_str = Z3_get_numeral_binary_string(e.ctx(), e); + + // Check if the binary representation consists only of '0's + return val_str.find('1') == std::string::npos; + } + + bool has_constant_value(const z3::expr& e, const u64& val) + { + if (!e.is_numeral()) + { + return false; + } + + if (e.get_sort().bv_size() > 64) + { + return false; + } + + return e.get_numeral_uint64() == val; + } + + bool is_kind(const z3::expr& e, const Z3_decl_kind& t) + { + return e.decl().decl_kind() == t; + } + + std::vector simplify_concat(z3::context& ctx, const z3::expr& p0, const z3::expr& p1) + { + const u64 p0_size = p0.get_sort().bv_size(); + const u64 p1_size = p1.get_sort().bv_size(); + + // std::cout << "P0: " << p0 << std::endl; + // std::cout << "P1: " << p1 << std::endl; + + // std::cout << "P0 opt: " << p0.decl() << std::endl; + // std::cout << "P1 opt: " << p1.decl() << std::endl; + + // TODO make this able to handle more than 64 bits + // CONCAT(X, Y) => CONST(X || Y) + if (p0.is_numeral() && p1.is_numeral()) + { + // std::cout << "P0: " << p0 << std::endl; + // std::cout << "P1: " << p1 << std::endl; + + // std::cout << "P0 Size: " << p0_size << std::endl; + // std::cout << "P1 Size: " << p1_size << std::endl; + + if ((p0_size + p1_size) <= 64) + { + //std::cout << "RES: " << ctx.bv_val((p1.get_numeral_uint64() << p0_size) + p0.get_numeral_uint64(), p0_size + p1_size) << std::endl; + return {ctx.bv_val((p1.get_numeral_uint64() << p0_size) + p0.get_numeral_uint64(), p0_size + p1_size)}; + } + } + + if (is_kind(p0, Z3_OP_EXTRACT) && is_kind(p1, Z3_OP_EXTRACT)) + { + auto p0_parameter = get_parameters(p0); + auto p1_parameter = get_parameters(p1); + + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + // std::cout << "P0: " << p0 << std::endl; + // std::cout << "P1: " << p1 << std::endl; + + // CONCAT(SLICE(X, j+1, k), SLICE(X, i, j)) => SLICE(X, i, k) + if (p1.lo() == (p0.hi() + 1)) + { + return {p0_parameter[0].extract(p1.hi(), p0.lo())}; + } + + // CONCAT(SLICE(X, j, j), SLICE(X, j, i)) => SEXT(SLICE(X, j, i), 1) + if ((p1.lo() == p1.hi()) && (p1.lo() == p0.hi())) + { + const auto res = z3::expr(ctx, Z3_mk_sign_ext(ctx, 1, p0)); + // std::cout << "Res: " << res << std::endl; + return {res}; + } + } + } + + if (is_kind(p0, Z3_OP_EXTRACT) && p1.is_numeral()) + { + // std::cout << "P0: " << p0 << std::endl; + // std::cout << "P1: " << p1 << std::endl; + + // CONCAT(00..00, SLICE(X, i, j)) => ZEXT(SLICE(X, i, k), n) + if (is_zero(p1)) + { + const auto res = z3::expr(ctx, Z3_mk_zero_ext(ctx, p1_size, p0)); + return {res}; + } + } + + if (is_kind(p0, Z3_OP_SIGN_EXT) && is_kind(p1, Z3_OP_EXTRACT)) + { + // std::cout << "P0: " << p0 << std::endl; + // std::cout << "P1: " << p1 << std::endl; + + auto p0_parameter = get_parameters(p0); + auto p1_parameter = get_parameters(p1); + auto p00_parameter = get_parameters(p0_parameter[0]); + + if (is_x_y(p00_parameter[0], p1_parameter[0])) + { + // std::cout << "\tP0: " << p0 << std::endl; + // std::cout << "\tP0[0]: " << p0_parameter[0] << std::endl; + // std::cout << "\tP00[0]: " << p00_parameter[0] << std::endl; + // std::cout << "\tP1: " << p1 << std::endl; + // std::cout << "\tP1[0]: " << p1_parameter[0] << std::endl; + // std::cout << "\tP0 Size: " << p0.get_sort().bv_size() << std::endl; + // std::cout << "\tP1 Size: " << p1.get_sort().bv_size() << std::endl; + + // std::cout << "\tP0.lo(): " << p0.lo() << std::endl; + // std::cout << "\tP0.hi(): " << p0.hi() << std::endl; + // std::cout << "\tP00.hi(): " << p0_parameter[0].hi() << std::endl; + + // std::cout << "\tP1.lo(): " << p1.lo() << std::endl; + // std::cout << "\tP1.hi(): " << p1.hi() << std::endl; + + // CONCAT(SLICE(X, j, j), SEXT(SLICE(X, j, i), n)) => SEXT(SLICE(X, j, i), n + 1) + if ((p1.lo() == p1.hi()) && (p1.lo() == p0_parameter[0].hi())) + { + // std::cout << "\t\tP0.lo(): " << p0.lo() << std::endl; + // std::cout << "\t\tP0.hi(): " << p0.hi() << std::endl; + + const u32 extend_by = p0.get_sort().bv_size() - p0_parameter[0].get_sort().bv_size() + 1; + + // std::cout << "\t\tEX by: " << extend_by << std::endl; + + auto res = z3::expr(ctx, Z3_mk_sign_ext(ctx, extend_by, p0_parameter[0])); + // std::cout << "Res: " << res << std::endl; + return {res}; + } + } + } + + if (is_kind(p0, Z3_OP_ZERO_EXT) && p1.is_numeral()) + { + auto p0_parameter = get_parameters(p0); + + // CONCAT(00..00, ZEXT(SLICE(X, j, i), n)) => ZEXT(SLICE(X, j, i), n + m)) + if (is_zero(p1)) + { + const u32 extend_by = p0.get_sort().bv_size() - p0_parameter[0].get_sort().bv_size() + p1_size; + const auto res = z3::expr(ctx, Z3_mk_zero_ext(ctx, extend_by, p0_parameter[0])); + + return {res}; + } + } + + /* + // CONCAT(SLICE(X, j, j), SEXT(SLICE(X, i, j), j-i+n)) => SEXT(SLICE(X, i, j), j-i+n+1) + if (p0.is(BooleanFunction::NodeType::Slice) && p1.is(BooleanFunction::NodeType::Sext)) + { + auto p1_parameter = get_parameters(p1); + + if (p1_parameter[0].is(BooleanFunction::NodeType::Slice)) + { + auto p0_parameter = get_parameters(p0); + auto p10_parameter = get_parameters(p1_parameter[0]); + + if ((is_x_y(p0_parameter[0], p10_parameter[0])) && (is_x_y(p0_parameter[1], p0_parameter[2])) + && (p0_parameter[1].get_index_value().get() == p10_parameter[2].get_index_value().get())) + { + return BooleanFunction::Sext(p1_parameter[0].clone(), BooleanFunction::Index(p1.size() + 1, p1.size() + 1), p1.size() + 1); + } + } + } + + // CONCAT(SLICE(X, j, j), CONCAT(SEXT(SLICE(X, i, j), j-i+n), Y)) => CONCAT(SEXT(SLICE(X, i, j), j-i+n+1), Y) + if (p0.is(BooleanFunction::NodeType::Slice) && p1.is(BooleanFunction::NodeType::Concat)) + { + auto p1_parameter = get_parameters(p1); + + if (p1_parameter[0].is(BooleanFunction::NodeType::Sext)) + { + auto p10_parameter = get_parameters(p1_parameter[0]); + + if (p10_parameter[0].is(BooleanFunction::NodeType::Slice)) + { + auto p0_parameter = get_parameters(p[0]); + auto p100_parameter = get_parameters(p10_parameter[0]); + + if ((is_x_y(p0_parameter[0], p100_parameter[0])) && (is_x_y(p0_parameter[1], p0_parameter[2])) + && (p0_parameter[1].get_index_value().get() == p100_parameter[2].get_index_value().get())) + { + if (auto extension = + BooleanFunction::Sext(p10_parameter[0].clone(), BooleanFunction::Index(p1_parameter[0].size() + 1, p1_parameter[0].size() + 1), p1_parameter[0].size() + 1); + extension.is_ok()) + { + return BooleanFunction::Concat(extension.get(), p1_parameter[1].clone(), extension.get().size() + p1_parameter[1].size()); + } + } + } + } + } + */ + + return {p0, p1}; + } + + bool is_commutative(const Z3_decl_kind& t) + { + switch (t) + { + case Z3_OP_TRUE: + case Z3_OP_FALSE: + case Z3_OP_AND: + case Z3_OP_OR: + case Z3_OP_NOT: + case Z3_OP_BAND: + case Z3_OP_BNOT: + case Z3_OP_BOR: + case Z3_OP_BXOR: + case Z3_OP_BADD: + return true; + + case Z3_OP_BNEG: + case Z3_OP_BSUB: + return false; + + case Z3_OP_BMUL: + return true; + + case Z3_OP_BSDIV: + case Z3_OP_BUDIV: + case Z3_OP_BSREM: + case Z3_OP_BUREM: + return false; + + case Z3_OP_EXTRACT: + return true; + + case Z3_OP_CONCAT: + return false; + + case Z3_OP_ZERO_EXT: + case Z3_OP_SIGN_EXT: + case Z3_OP_EQ: + return true; + + case Z3_OP_SLEQ: + case Z3_OP_SLT: + case Z3_OP_ULEQ: + case Z3_OP_ULT: + case Z3_OP_ITE: + return false; + + default: { + log_error("z3_utils", "commutative check not implemeted for type {}!", t); + return false; + } + } + } + + std::vector normalize(std::vector&& p) + { + if (p.size() <= 1ul) + { + return std::move(p); + } + + std::sort(p.begin(), p.end(), [](const auto& lhs, const auto& rhs) { return lhs.decl().decl_kind() > rhs.decl().decl_kind(); }); + return std::move(p); + } + + bool check_correctness(const z3::expr& org, const z3::expr& smp) + { + std::cout << "Checking simplification for correctness. This is slow and expensive!" << std::endl; + + z3::solver s(org.ctx()); + s.add(org != smp); + const auto r = s.check(); + + if (r != z3::unsat) + { + std::cout << "Correctness Check failed!!!" << std::endl; + std::cout << "ORG: " << org << std::endl; + std::cout << "NEW: " << smp << std::endl; + + return false; + } + + return true; + } + + Result simplify_internal(const z3::expr& e, std::unordered_map& cache, const bool check) + { + if (const auto it = cache.find(e.id()); it != cache.end()) + { + return OK(it->second); + } + + u64 size; + if (e.is_bv()) + { + if (e.is_numeral()) + { + return OK(e); + } + else if (e.is_const()) + { + // std::cout << "CONST: " << e << std::endl; + return OK(e); + } + else if (e.is_var()) + { + // std::cout << "VAR: " << e << std::endl; + return OK(e); + } + size = e.get_sort().bv_size(); + } + + auto& ctx = e.ctx(); + + // std::cout << "E: " << e << std::endl; + + const auto op = e.decl().decl_kind(); + auto num_args = e.num_args(); + std::vector p; + for (u32 i = 0; i < e.num_args(); i++) + { + const auto arg = e.arg(i); + + // std::cout << "ARG_BEFORE: " << arg << std::endl; + // std::cout << "NUM_BEFORE: " << arg.is_numeral() << std::endl; + // std::cout << "BV_BEFORE: " << arg.is_bv() << std::endl; + + const auto res = simplify_internal(arg, cache, check); + + // std::cout << "RES: " << res.get() << std::endl; + + if (res.is_ok()) + { + if (check && !check_correctness(arg, res.get())) + { + return ERR("simplification failed correctness check!"); + } + + const auto [it, _] = cache.insert({arg.id(), res.get()}); + p.push_back(it->second); + } + else + { + return ERR(res.get_error()); + } + } + + // for (const auto& ep : p) + // { + // std::cout << "ARG_AFTER: " << ep << std::endl; + // std::cout << "NUM_AFTER: " << ep.is_numeral() << std::endl; + // std::cout << "BV_AFTER: " << ep.is_bv() << std::endl; + // } + + if (!p.empty() && std::all_of(p.begin(), p.end(), [](const auto& p_e) { return p_e.is_numeral() && p_e.is_bv(); })) + { + const auto bf = z3_utils::to_bf(e).get(); + // std::cout << "BF: " << bf.to_string() << std::endl; + + std::vector p_bf; + for (const auto& p_e : p) + { + const auto t = z3_utils::to_bf(p_e).get(); + // std::cout << "T: " << t.to_string() << std::endl; + + p_bf.push_back(t); + } + + // std::cout << "E_in: " << e << std::endl; + // for (const auto& p_e : p) + // { + // std::cout << "\t" << p_e << std::endl; + // } + + auto res = BV_ConstantPropagation::constant_propagation(bf.get_top_level_node(), std::move(p_bf)); + if (res.is_error()) + { + return ERR_APPEND(res.get_error(), "could not simplify sub-expression in abstract syntax tree: constant propagation failed"); + } + + auto bf_c = res.get(); + if (!bf_c.is_empty()) + { + const auto bf_z3 = z3_utils::from_bf(bf_c, ctx); + return OK(bf_z3); + } + } + + if (is_commutative(op)) + { + p = normalize(std::move(p)); + } + + switch (op) + { + case Z3_OP_TRUE: { + return OK(e); + } + + case Z3_OP_FALSE: { + return OK(e); + } + + case Z3_OP_AND: { + // X & False => False + if (p[1].is_bool() && p[1].is_false()) + { + return OK(ctx.bool_val(false)); + } + // X & True => X + if (p[1].is_bool() && p[1].is_true()) + { + return OK(p[0]); + } + // X & X => X + if (is_x_y(p[0], p[1])) + { + return OK(p[0]); + } + // X & ~X => False + if (is_x_not_y(p[0], p[1])) + { + return OK(ctx.bool_val(false)); + } + + if (p[0].is_or() && p[1].is_or()) + { + auto p0_parameter = get_parameters(p[0]); + auto p1_parameter = get_parameters(p[1]); + + // (X | Y) & (X | Z) => X | (Y & Z) + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + return OK(p0_parameter[0] | (p0_parameter[1] & p1_parameter[1])); + } + // (X | Y) & (Z | X) => X | (Y & Z) + if (is_x_y(p0_parameter[0], p1_parameter[1])) + { + return OK(p0_parameter[0] | (p0_parameter[1] & p1_parameter[0])); + } + + // (X | Y) & (Y | Z) => Y | (X & Z) + if (is_x_y(p0_parameter[1], p1_parameter[0])) + { + return OK(p0_parameter[1] | (p0_parameter[0] & p1_parameter[1])); + } + // (X | Y) & (Z | Y) => Y | (X & Z) + if (is_x_y(p0_parameter[1], p1_parameter[1])) + { + return OK(p0_parameter[1] | (p0_parameter[0] & p1_parameter[0])); + } + } + + if (p[1].is_and()) + { + auto p1_parameter = get_parameters(p[1]); + // X & (X & Y) => (X & Y) + if (is_x_y(p[0], p1_parameter[1])) + { + return OK(p[1]); + } + // X & (Y & X) => (Y & X) + if (is_x_y(p[0], p1_parameter[0])) + { + return OK(p[1]); + } + + // X & (~X & Y) => 0 + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(ctx.bool_val(false)); + } + // X & (Y & ~X) => 0 + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(ctx.bool_val(false)); + } + } + + if (p[1].is_or()) + { + auto p1_parameter = get_parameters(p[1]); + + // X & (X | Y) => X + if (is_x_y(p1_parameter[0], p[0])) + { + return OK(p[0]); + } + // X & (Y | X) => X + if (is_x_y(p1_parameter[1], p[0])) + { + return OK(p[0]); + } + // X & (~X | Y) => X & Y + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(p[0] & p1_parameter[1]); + } + // X & (Y | ~X) => X & Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(p[0] & p1_parameter[0]); + } + } + + if (p[0].is_and()) + { + auto p0_parameter = get_parameters(p[0]); + + // (X & Y) & X => X & Y + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[0]); + } + + // (Y & X) & X => Y & X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[0]); + } + // (~X & Y) & X => 0 + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(ctx.bool_val(false)); + } + // (Y & ~X) & X => 0 + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(ctx.bool_val(false)); + } + } + + if (p[0].is_or()) + { + auto p0_parameter = get_parameters(p[0]); + + // (X | Y) & X => X + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[1]); + } + // (Y | X) & X => X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[1]); + } + // (~X | Y) & X => X & Y + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(p[1] & p0_parameter[1]); + } + // (Y | ~X) & X => X & Y + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(p[1] & p0_parameter[0]); + } + } + + return OK(p[0] & p[1]); + } + + case Z3_OP_OR: { + // X | False => X + if (p[1].is_false()) + { + return OK(p[0]); + } + + // X | True => True + if (p[1].is_true()) + { + return OK(p[1]); + } + + // X | X => X + if (is_x_y(p[0], p[1])) + { + return OK(p[0]); + } + + // X | ~X => True + if (is_x_not_y(p[0], p[1])) + { + return OK(ctx.bool_val(true)); + } + + if (p[0].is_and() && p[1].is_and()) + { + auto p0_parameter = get_parameters(p[0]); + auto p1_parameter = get_parameters(p[1]); + + // (X & Y) | (X & Z) => X & (Y | Z) + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + return OK(p0_parameter[0] & (p0_parameter[1] | p1_parameter[1])); + } + // (X & Y) | (Z & X) => X & (Y | Z) + if (is_x_y(p0_parameter[0], p1_parameter[1])) + { + return OK(p0_parameter[0] & (p0_parameter[1] | p1_parameter[0])); + } + // (X & Y) | (Y & Z) => Y & (Y | Z) + if (is_x_y(p0_parameter[1], p1_parameter[0])) + { + return OK(p0_parameter[1] & (p0_parameter[0] | p1_parameter[1])); + } + // (X & Y) | (Z & Y) => Y & (X | Z) + if (is_x_y(p0_parameter[1], p1_parameter[1])) + { + return OK(p0_parameter[1] & (p0_parameter[0] | p1_parameter[0])); + } + } + + if (p[1].is_and()) + { + auto p1_parameter = get_parameters(p[1]); + // X | (Y & !X) => X | Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(p[0] | p1_parameter[0]); + } + + // X | (X & Y) => X + if ((is_x_y(p1_parameter[0], p[0])) || (is_x_y(p1_parameter[1], p[0]))) + { + return OK(p[0]); + } + + // X | (~X & Y) => X | Y + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(p[0] | p1_parameter[1]); + } + // X | (Y & ~X) => X | Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(p[0] | p1_parameter[0]); + } + } + + if (p[1].is_or()) + { + auto p1_parameter = get_parameters(p[1]); + + // X | (X | Y) => (X | Y) + if (is_x_y(p1_parameter[0], p[0])) + { + return OK(p[1]); + } + // X | (Y | X) => (Y | X) + if (is_x_y(p1_parameter[1], p[0])) + { + return OK(p[1]); + } + + // X | (~X | Y) => True + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(ctx.bool_val(true)); + } + + // X | (Y | ~X) => True + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(ctx.bool_val(true)); + } + } + + if (p[0].is_or()) + { + auto p0_parameter = get_parameters(p[0]); + + // (X | Y) | X => (X | Y) + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[0]); + } + // (Y | X) | X => (Y | X) + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[0]); + } + + // (~X | Y) | X => True + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(ctx.bool_val(true)); + } + + // (Y | ~X) | X => True + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(ctx.bool_val(true)); + } + } + + if (p[0].is_and()) + { + auto p0_parameter = get_parameters(p[0]); + + // (X & Y) | X => X + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[1]); + } + // (Y & X) | X => X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[1]); + } + + // (~X & Y) | X => X | Y + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(p0_parameter[1] | p[1]); + } + + // (X & ~Y) | Y => X | Y + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(p0_parameter[0] | p[1]); + } + } + + if (p[0].is_eq() && p[1].is_eq()) + { + auto p0_parameter = get_parameters(p[0]); + auto p1_parameter = get_parameters(p[1]); + + // eq(X, 1) | eq(X, 0) => True + if (p0_parameter[0].get_sort().is_bv() && is_x_y(p0_parameter[0], p1_parameter[0])) + { + if (p0_parameter[0].get_sort().bv_size() == 1) + { + if (is_ones(p0_parameter[1]) && is_zero(p1_parameter[1])) + { + return OK(ctx.bool_val(true)); + } + + if (is_zero(p0_parameter[1]) && is_ones(p1_parameter[1])) + { + return OK(ctx.bool_val(true)); + } + } + } + } + + return OK(p[0] | p[1]); + } + + case Z3_OP_NOT: { + // ~~X => X + if (p[0].is_not()) + { + return OK(get_parameters(p[0])[0]); + } + + // ~(~X & ~Y) => X | Y + if (is_kind(p[0], Z3_OP_BAND)) + { + auto p0_parameter = get_parameters(p[0]); + if (p0_parameter[0].is_not() && p0_parameter[1].is_not()) + { + return OK(get_parameters(p0_parameter[0])[0] | get_parameters(p0_parameter[1])[0]); + } + } + + // ~(~X | ~Y) => X & Y + if (is_kind(p[0], Z3_OP_BOR)) + { + auto p0_parameter = get_parameters(p[0]); + if (p0_parameter[0].is_not() && p0_parameter[1].is_not()) + { + return OK(get_parameters(p0_parameter[0])[0] & get_parameters(p0_parameter[1])[0]); + } + } + + // ~(X | Y) => ~X & ~Y + if (p[0].is_or()) + { + auto p0_parameter = get_parameters(p[0]); + return OK((~p0_parameter[0]) & (~p0_parameter[1])); + } + + // ~(X & Y) => ~X | ~Y + if (p[0].is_and()) + { + auto p0_parameter = get_parameters(p[0]); + return OK((~p0_parameter[0]) | (~p0_parameter[1])); + } + + return OK(~p[0]); + } + + case Z3_OP_BAND: { + if (p.size() == 2) + { + // X & 0 => 0 + if (is_zero(p[1])) + { + return OK(ctx.bv_val(0, size)); + } + // X & 1 => X + if (is_ones(p[1])) + { + return OK(p[0]); + } + // X & X => X + if (is_x_y(p[0], p[1])) + { + return OK(p[0]); + } + // X & ~X => 0 + if (is_x_not_y(p[0], p[1])) + { + return OK(ctx.bv_val(0, size)); + } + + if (is_kind(p[0], Z3_OP_BOR) && is_kind(p[1], Z3_OP_BOR)) + { + auto p0_parameter = get_parameters(p[0]); + auto p1_parameter = get_parameters(p[1]); + + // (X | Y) & (X | Z) => X | (Y & Z) + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + return OK(p0_parameter[0] | (p0_parameter[1] & p1_parameter[1])); + } + // (X | Y) & (Z | X) => X | (Y & Z) + if (is_x_y(p0_parameter[0], p1_parameter[1])) + { + return OK(p0_parameter[0] | (p0_parameter[1] & p1_parameter[0])); + } + + // (X | Y) & (Y | Z) => Y | (X & Z) + if (is_x_y(p0_parameter[1], p1_parameter[0])) + { + return OK(p0_parameter[1] | (p0_parameter[0] & p1_parameter[1])); + } + // (X | Y) & (Z | Y) => Y | (X & Z) + if (is_x_y(p0_parameter[1], p1_parameter[1])) + { + return OK(p0_parameter[1] | (p0_parameter[0] & p1_parameter[0])); + } + } + + if (is_kind(p[1], Z3_OP_BAND)) + { + auto p1_parameter = get_parameters(p[1]); + // X & (X & Y) => (X & Y) + if (is_x_y(p[0], p1_parameter[1])) + { + return OK(p[1]); + } + // X & (Y & X) => (Y & X) + if (is_x_y(p[0], p1_parameter[0])) + { + return OK(p[1]); + } + + // X & (~X & Y) => 0 + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(ctx.bv_val(0, size)); + } + // X & (Y & ~X) => 0 + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(ctx.bv_val(0, size)); + } + } + + if (is_kind(p[1], Z3_OP_BOR)) + { + auto p1_parameter = get_parameters(p[1]); + + // X & (X | Y) => X + if (is_x_y(p1_parameter[0], p[0])) + { + return OK(p[0]); + } + // X & (Y | X) => X + if (is_x_y(p1_parameter[1], p[0])) + { + return OK(p[0]); + } + // X & (~X | Y) => X & Y + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(p[0] & p1_parameter[1]); + } + // X & (Y | ~X) => X & Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(p[0] & p1_parameter[0]); + } + } + + if (is_kind(p[0], Z3_OP_BAND)) + { + auto p0_parameter = get_parameters(p[0]); + + // (X & Y) & X => X & Y + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[0]); + } + + // (Y & X) & X => Y & X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[0]); + } + // (~X & Y) & X => 0 + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(ctx.bv_val(0, size)); + } + // (Y & ~X) & X => 0 + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(ctx.bv_val(0, size)); + } + } + + if (is_kind(p[0], Z3_OP_BOR)) + { + auto p0_parameter = get_parameters(p[0]); + + // (X | Y) & X => X + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[1]); + } + // (Y | X) & X => X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[1]); + } + // (~X | Y) & X => X & Y + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(p[1] & p0_parameter[1]); + } + // (Y | ~X) & X => X & Y + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(p[1] & p0_parameter[0]); + } + } + + return OK(p[0] & p[1]); + } + + // TODO simplify with more than two parameters + z3::expr res = p[0] & p[1]; + for (u32 i = 2; i < p.size(); i++) + { + res = res & p[i]; + } + return OK(res); + } + + case Z3_OP_BNOT: { + // ~~X => X + if (is_kind(p[0], Z3_OP_BNOT)) + { + return OK(get_parameters(p[0])[0]); + } + + // ~(~X & ~Y) => X | Y + if (is_kind(p[0], Z3_OP_BAND)) + { + auto p0_parameter = get_parameters(p[0]); + if (is_kind(p0_parameter[0], Z3_OP_BNOT) && is_kind(p0_parameter[1], Z3_OP_BNOT)) + { + return OK(get_parameters(p0_parameter[0])[0] | get_parameters(p0_parameter[1])[0]); + } + } + + // ~(~X | ~Y) => X & Y + if (is_kind(p[0], Z3_OP_BOR)) + { + auto p0_parameter = get_parameters(p[0]); + if (is_kind(p0_parameter[0], Z3_OP_BNOT) && is_kind(p0_parameter[1], Z3_OP_BNOT)) + { + return OK(get_parameters(p0_parameter[0])[0] & get_parameters(p0_parameter[1])[0]); + } + } + + // ~(X | Y) => ~X & ~Y + if (is_kind(p[0], Z3_OP_BOR)) + { + auto p0_parameter = get_parameters(p[0]); + return OK((~p0_parameter[0]) & (~p0_parameter[1])); + } + + // ~(X & Y) => ~X | ~Y + if (is_kind(p[0], Z3_OP_BAND)) + { + auto p0_parameter = get_parameters(p[0]); + return OK((~p0_parameter[0]) | (~p0_parameter[1])); + } + + return OK(~p[0]); + } + + case Z3_OP_BOR: { + if (p.size() == 2) + { + // X | 0 => X + if (is_zero(p[1])) + { + return OK(p[0]); + } + + // X | 1 => 1 + if (is_ones(p[1])) + { + return OK(p[1]); + } + + // X | X => X + if (is_x_y(p[0], p[1])) + { + return OK(p[0]); + } + + // X | ~X => 1 + if (is_x_not_y(p[0], p[1])) + { + return OK(ctx.bv_val(-1, size)); + } + + if (is_kind(p[0], Z3_OP_BAND) && is_kind(p[1], Z3_OP_BAND)) + { + auto p0_parameter = get_parameters(p[0]); + auto p1_parameter = get_parameters(p[1]); + + // (X & Y) | (X & Z) => X & (Y | Z) + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + return OK(p0_parameter[0] & (p0_parameter[1] | p1_parameter[1])); + } + // (X & Y) | (Z & X) => X & (Y | Z) + if (is_x_y(p0_parameter[0], p1_parameter[1])) + { + return OK(p0_parameter[0] & (p0_parameter[1] | p1_parameter[0])); + } + // (X & Y) | (Y & Z) => Y & (Y | Z) + if (is_x_y(p0_parameter[1], p1_parameter[0])) + { + return OK(p0_parameter[1] & (p0_parameter[0] | p1_parameter[1])); + } + // (X & Y) | (Z & Y) => Y & (X | Z) + if (is_x_y(p0_parameter[1], p1_parameter[1])) + { + return OK(p0_parameter[1] & (p0_parameter[0] | p1_parameter[0])); + } + } + + if (is_kind(p[1], Z3_OP_BAND)) + { + auto p1_parameter = get_parameters(p[1]); + // X | (Y & !X) => X | Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(p[0] | p1_parameter[0]); + } + + // X | (X & Y) => X + if ((is_x_y(p1_parameter[0], p[0])) || (is_x_y(p1_parameter[1], p[0]))) + { + return OK(p[0]); + } + + // X | (~X & Y) => X | Y + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(p[0] | p1_parameter[1]); + } + // X | (Y & ~X) => X | Y + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(p[0] | p1_parameter[0]); + } + } + + if (is_kind(p[1], Z3_OP_BOR)) + { + auto p1_parameter = get_parameters(p[1]); + + // X | (X | Y) => (X | Y) + if (is_x_y(p1_parameter[0], p[0])) + { + return OK(p[1]); + } + // X | (Y | X) => (Y | X) + if (is_x_y(p1_parameter[1], p[0])) + { + return OK(p[1]); + } + + // X | (~X | Y) => 1 + if (is_x_not_y(p1_parameter[0], p[0])) + { + return OK(ctx.bv_val(-1, size)); + } + + // X | (Y | ~X) => 1 + if (is_x_not_y(p1_parameter[1], p[0])) + { + return OK(ctx.bv_val(-1, size)); + } + } + + if (is_kind(p[0], Z3_OP_BOR)) + { + auto p0_parameter = get_parameters(p[0]); + + // (X | Y) | X => (X | Y) + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[0]); + } + // (Y | X) | X => (Y | X) + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[0]); + } + + // (~X | Y) | X => 1 + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(ctx.bv_val(-1, size)); + } + + // (Y | ~X) | X => 1 + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(ctx.bv_val(-1, size)); + } + } + + if (is_kind(p[0], Z3_OP_BAND)) + { + auto p0_parameter = get_parameters(p[0]); + + // (X & Y) | X => X + if (is_x_y(p0_parameter[0], p[1])) + { + return OK(p[1]); + } + // (Y & X) | X => X + if (is_x_y(p0_parameter[1], p[1])) + { + return OK(p[1]); + } + + // (~X & Y) | X => X | Y + if (is_x_not_y(p0_parameter[0], p[1])) + { + return OK(p0_parameter[1] | p[1]); + } + + // (X & ~Y) | Y => X | Y + if (is_x_not_y(p0_parameter[1], p[1])) + { + return OK(p0_parameter[0] | p[1]); + } + } + + return OK(p[0] | p[1]); + } + + // TODO simplify with more than two parameters + z3::expr res = p[0] | p[1]; + for (u32 i = 2; i < p.size(); i++) + { + res = res | p[i]; + } + return OK(res); + } + + case Z3_OP_BXOR: { + if (p.size() == 2) + { + // X ^ 0 => X + if (is_zero(p[1])) + { + return OK(p[0]); + } + // X ^ 1 => ~X + if (is_ones(p[1])) + { + return OK(~p[0]); + } + // X ^ X => 0 + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bv_val(0, size)); + } + // X ^ ~X => 1 + if (is_x_not_y(p[0], p[1])) + { + return OK(ctx.bv_val(-1, size)); + } + + return OK(p[0] ^ p[1]); + } + + // TODO simplify with more than two parameters + z3::expr res = p[0] ^ p[1]; + for (u32 i = 2; i < p.size(); i++) + { + res = res ^ p[i]; + } + return OK(res); + } + + case Z3_OP_BNEG: { + // --X => X + if (is_kind(p[0], Z3_OP_BNEG)) + { + return OK(get_parameters(p[0])[0]); + } + + return OK(-p[0]); + } + + case Z3_OP_BADD: { + if (p.size() == 2) + { + // X + 0 => X + if (is_zero(p[1])) + { + return OK(p[0]); + } + + const u64 p0_size = p[0].get_sort().bv_size(); + const u64 p1_size = p[1].get_sort().bv_size(); + + if (is_kind(p[0], Z3_OP_BNEG)) + { + const auto p0_parameter = get_parameters(p[0]); + + return OK(p[1] - p0_parameter[0]); + } + + if (is_kind(p[1], Z3_OP_BNEG)) + { + const auto p1_parameter = get_parameters(p[1]); + + return OK(p[0] - p1_parameter[0]); + } + + // SLICE(X, 0, 0) + SLICE(Y, 0, 0) => SLICE(X + Y, 0, 0) + if ((is_kind(p[0], Z3_OP_EXTRACT)) && is_kind(p[1], Z3_OP_EXTRACT)) + { + if ((p[0].lo() == 0) && (p[0].hi() == 0) && (p[1].lo() == 0) && (p[1].hi() == 0)) + { + auto p0_parameter = get_parameters(p[0]); + auto p1_parameter = get_parameters(p[1]); + + return OK((p0_parameter[0] + p1_parameter[0]).extract(0, 0)); + } + } + + // SLICE(X, i, i) + SLICE(Y, j, j) => SLICE(X + Y, 0, 0) + /* + if ((is_kind(p[0], Z3_OP_EXTRACT)) && is_kind(p[1], Z3_OP_EXTRACT)) + { + if ((p[0].lo() == p[0].hi()) && (p[1].lo() == p[1].hi())) + { + auto p0_parameter = get_parameters(p[0]); + auto p1_parameter = get_parameters(p[1]); + + std::cout << "E: " << e << std::endl; + std::cout << "p0: " << p[0] << std::endl; + std::cout << "p1: " << p[1] << std::endl; + + std::cout << "p00: " << p0_parameter[0] << std::endl; + std::cout << "p10: " << p1_parameter[0] << std::endl; + + std::cout << "p00: " << p0_parameter[0].get_sort().bv_size() << std::endl; + std::cout << "p10: " << p1_parameter[0].get_sort().bv_size() << std::endl; + + return OK((p0_parameter[0] + p1_parameter[0]).extract(0, 0)); + } + } + */ + + return OK(p[0] + p[1]); + } + + // TODO simplify SUM with more than two parameters + z3::expr sum = p[0] + p[1]; + for (u32 i = 2; i < p.size(); i++) + { + sum = sum + p[i]; + } + return OK(sum); + } + + case Z3_OP_BSUB: { + if (p.size() == 2) + { + // X - 0 => X + if (is_zero(p[1])) + { + return OK(p[0]); + } + // X - X => 0 + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bv_val(0, size)); + } + + // X - (-Y) => X + Y + if (is_kind(p[1], Z3_OP_BNEG)) + { + const auto p1_parameter = get_parameters(p[1]); + + return OK(p[0] + p1_parameter[0]); + } + + return OK(p[0] - p[1]); + } + + // TODO implement for more than two parameters + z3::expr sum = p[0] - p[1]; + for (u32 i = 2; i < p.size(); i++) + { + sum = sum - p[i]; + } + return OK(sum); + } + + case Z3_OP_BMUL: { + // X * 0 => 0 + if (is_zero(p[1])) + { + return OK(ctx.bv_val(0, size)); + } + // X * 1 => X + if (has_constant_value(p[1], 1)) + { + return OK(p[0]); + } + + // This currently leads to problems, since the HAL boolean function can not handle this, so translation causes errors + // // X * -1 => -X + // if (is_ones(p[1])) + // { + // return OK(-p[0]); + // } + + return OK(p[0] * p[1]); + } + + case Z3_OP_BSDIV: { + // X /s 1 => X + if (has_constant_value(p[1], 1)) + { + return OK(p[0]); + } + // X /s X => 1 + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bv_val(1, size)); + } + + return OK(p[0] / p[1]); + } + + case Z3_OP_BUDIV: { + // X / 1 => X + if (has_constant_value(p[1], 1)) + { + return OK(p[0]); + } + // X / X => 1 + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bv_val(1, size)); + } + + return OK(z3::expr(ctx, Z3_mk_bvudiv(ctx, p[0], p[1]))); + } + + case Z3_OP_BSREM: { + // X %s 1 => 0 + if (has_constant_value(p[1], 1)) + { + return OK(ctx.bv_val(0, size)); + } + // X %s X => 0 + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bv_val(0, size)); + } + + return OK(z3::expr(ctx, Z3_mk_bvsrem(ctx, p[0], p[1]))); + } + + case Z3_OP_BUREM: { + // X % 1 => 0 + if (has_constant_value(p[1], 1)) + { + return OK(ctx.bv_val(0, size)); + } + // X % X => 0 + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bv_val(0, size)); + } + + return OK(z3::expr(ctx, Z3_mk_bvurem(ctx, p[0], p[1]))); + } + + case Z3_OP_EXTRACT: { + // SLICE(p, 0, 0) => p (if p is 1-bit wide) + if ((e.lo() == 0) && (e.hi() == 0) && (p[0].get_sort().bv_size() == 1)) + { + return OK(p[0]); + } + + // SLICE(p, n, 0) => p (if size of p is n+1) + if (((e.hi() - e.lo()) == (p[0].get_sort().bv_size() - 1)) && (e.lo() == 0)) + { + return OK(p[0]); + } + + // SLICE(011..010, i, j) => 010..001 + if (p[0].is_numeral()) + { + // std::cout << "E: " << e << std::endl; + // std::cout << "P0: " << p[0] << std::endl; + + const std::string p0_str = Z3_get_numeral_binary_string(e.ctx(), p[0]); + const std::string p0_pad = std::string(p[0].get_sort().bv_size() - p0_str.length(), '0') + p0_str; + + // std::cout << "P0_padded: " << p0_pad << std::endl; + + // reverse string to place bit 0 at index 0 of string + std::string p0_rev = p0_pad; + std::reverse(p0_rev.begin(), p0_rev.end()); + + const std::string ex_str = p0_rev.substr(e.lo(), e.hi() - e.lo() + 1); + + // std::cout << "P0_ex: " << ex_str << std::endl; + + const auto res = z3_utils::value_from_binary_string(ctx, ex_str); + + // std::cout << res.get() << std::endl; + + return res; + } + + return OK(p[0].extract(e.hi(), e.lo())); + } + + case Z3_OP_CONCAT: { + std::vector q = {p.begin(), p.end()}; + std::vector res; + + while (q.size() > 1) + { + const auto p0 = q.back(); + q.pop_back(); + + const auto p1 = q.back(); + q.pop_back(); + + const auto sc = simplify_concat(ctx, p0, p1); + + if (sc.size() == 1) + { + q.push_back(sc.front()); + } + else + { + res.insert(res.begin(), sc.back()); + q.push_back(sc.front()); + } + } + + res.push_back(q.front()); + + if (res.size() > 1) + { + z3::expr_vector res_e(ctx); + for (const auto& e : res) + { + res_e.push_back(e); + } + + return OK(z3::concat(res_e)); + } + + return OK(res.front()); + + /* + const u64 p0_size = p[0].get_sort().bv_size(); + const u64 p1_size = p[1].get_sort().bv_size(); + + // CONCAT(X, Y) => CONST(X || Y) + if (p[0].is_numeral() && p[1].is_numeral()) + { + if ((p0_size + p1_size) <= 64) + { + return OK(ctx.bv_val(p[0].get_numeral_uint64() << p1_size + p[1].get_numeral_uint64(), p0_size + p1_size)); + } + } + + const auto p0_op = p[0].decl().decl_kind(); + const auto p1_op = p[1].decl().decl_kind(); + + // We intend to group slices into the same concatination, so that they maybe can be merged into one slice. We try to do this from right to left to make succeeding simplifications easier. + if ((p0_op == Z3_OP_EXTRACT) && (p1_op == Z3_OP_CONCAT)) + { + auto p1_parameter = get_parameters(p[1]); + + const auto p10_op = p1_parameter[0].decl().decl_kind(); + const auto p11_op = p1_parameter[1].decl().decl_kind(); + + if (p10_op == Z3_OP_EXTRACT) + { + auto p0_parameter = get_parameters(p[0]); + auto p10_parameter = get_parameters(p1_parameter[0]); + + if (is_x_y(p0_parameter[0], p10_parameter[0])) + { + if (p1_parameter[1].is(BooleanFunction::NodeType::Slice)) + { + auto p11_parameter = get_parameters(p1_parameter[1]); + + // CONCAT(SLICE(X, i, j), CONCAT(SLICE(X, k, l), SLICE(Z, m, n))) => CONCAT(CONCAT(SLICE(X, i, j), SLICE(X, k, l)), SLICE(Z, m, n))) + if (p11_parameter[0] != p10_parameter[0]) + { + if (auto concatination = BooleanFunction::Concat(p[0].clone(), p1_parameter[0].clone(), p[0].size() + p1_parameter[0].size()); concatination.is_ok()) + { + return BooleanFunction::Concat(concatination.get(), p1_parameter[1].clone(), concatination.get().size() + p1_parameter[1].size()); + } + } + } + else if (p1_parameter[1].is(BooleanFunction::NodeType::Concat)) + { + auto p11_parameter = get_parameters(p1_parameter[1]); + + if (p11_parameter[0].is(BooleanFunction::NodeType::Slice)) + { + auto p110_parameter = get_parameters(p11_parameter[0]); + + // CONCAT(SLICE(X, i, j), CONCAT(SLICE(X, k, l), CONCAT(SLICE(Y, m, n), Z))) => CONCAT(CONCAT(SLICE(X, i, j), SLICE(X, k, l)), CONCAT(SLICE(Y, m, n), Z))) + if (p110_parameter[0] != p10_parameter[0]) + { + auto c1 = BooleanFunction::Concat(p[0].clone(), p1_parameter[0].clone(), p[0].size() + p1_parameter[0].size()); + + return BooleanFunction::Concat(c1.get().clone(), p1_parameter[1].clone(), c1.get().size() + p1_parameter[1].size()); + } + } + } + else + { + // CONCAT(SLICE(X, i, j), CONCAT(SLICE(X, k, l), Y)) => CONCAT(CONCAT(SLICE(X, i, j), SLICE(X, k, l)), Y)) + if (auto concatination = BooleanFunction::Concat(p[0].clone(), p1_parameter[0].clone(), p[0].size() + p1_parameter[0].size()); concatination.is_ok()) + { + return BooleanFunction::Concat(concatination.get(), p1_parameter[1].clone(), concatination.get().size() + p1_parameter[1].size()); + } + } + } + } + } + + if (p[0].is(BooleanFunction::NodeType::Slice) && p[1].is(BooleanFunction::NodeType::Slice)) + { + auto p0_parameter = get_parameters(p[0]); + auto p1_parameter = get_parameters(p[1]); + + if (is_x_y(p0_parameter[0], p1_parameter[0])) + { + // CONCAT(SLICE(X, j+1, k), SLICE(X, i, j)) => SLICE(X, i, k) + if ((p1_parameter[2].get_index_value().get() == (p0_parameter[1].get_index_value().get() - 1))) + { + return BooleanFunction::Slice(p0_parameter[0].clone(), p1_parameter[1].clone(), p0_parameter[2].clone(), p[0].size() + p[1].size()); + } + + // CONCAT(SLICE(X, j, j), SLICE(X, i, j)) => SEXT(SLICE(X, i, j), j-i+1) + if ((p1_parameter[2].get_index_value().get() == p0_parameter[1].get_index_value().get()) + && (p1_parameter[2].get_index_value().get() == p0_parameter[2].get_index_value().get())) + { + return BooleanFunction::Sext(p[1].clone(), BooleanFunction::Index(p[1].size() + 1, p[1].size() + 1), p[1].size() + 1); + } + } + } + + // CONCAT(SLICE(X, j, j), SEXT(SLICE(X, i, j), j-i+n)) => SEXT(SLICE(X, i, j), j-i+n+1) + if (p[0].is(BooleanFunction::NodeType::Slice) && p[1].is(BooleanFunction::NodeType::Sext)) + { + auto p1_parameter = get_parameters(p[1]); + + if (p1_parameter[0].is(BooleanFunction::NodeType::Slice)) + { + auto p0_parameter = get_parameters(p[0]); + auto p10_parameter = get_parameters(p1_parameter[0]); + + if ((is_x_y(p0_parameter[0], p10_parameter[0])) && (is_x_y(p0_parameter[1], p0_parameter[2])) + && (p0_parameter[1].get_index_value().get() == p10_parameter[2].get_index_value().get())) + { + return BooleanFunction::Sext(p1_parameter[0].clone(), BooleanFunction::Index(p[1].size() + 1, p[1].size() + 1), p[1].size() + 1); + } + } + } + + // CONCAT(SLICE(X, j, j), CONCAT(SEXT(SLICE(X, i, j), j-i+n), Y)) => CONCAT(SEXT(SLICE(X, i, j), j-i+n+1), Y) + if (p[0].is(BooleanFunction::NodeType::Slice) && p[1].is(BooleanFunction::NodeType::Concat)) + { + auto p1_parameter = get_parameters(p[1]); + + if (p1_parameter[0].is(BooleanFunction::NodeType::Sext)) + { + auto p10_parameter = get_parameters(p1_parameter[0]); + + if (p10_parameter[0].is(BooleanFunction::NodeType::Slice)) + { + auto p0_parameter = get_parameters(p[0]); + auto p100_parameter = get_parameters(p10_parameter[0]); + + if ((is_x_y(p0_parameter[0], p100_parameter[0])) && (is_x_y(p0_parameter[1], p0_parameter[2])) + && (p0_parameter[1].get_index_value().get() == p100_parameter[2].get_index_value().get())) + { + if (auto extension = + BooleanFunction::Sext(p10_parameter[0].clone(), BooleanFunction::Index(p1_parameter[0].size() + 1, p1_parameter[0].size() + 1), p1_parameter[0].size() + 1); + extension.is_ok()) + { + return BooleanFunction::Concat(extension.get(), p1_parameter[1].clone(), extension.get().size() + p1_parameter[1].size()); + } + } + } + } + } + */ + + z3::expr_vector es(ctx); + for (const auto& e_p : p) + { + es.push_back(e_p); + } + + return OK(z3::concat(es)); + } + + case Z3_OP_ZERO_EXT: { + const u64 i = e.get_sort().bv_size() - p[0].get_sort().bv_size(); + return OK(z3::expr(ctx, Z3_mk_zero_ext(ctx, i, p[0]))); + } + + case Z3_OP_SIGN_EXT: { + const u64 i = e.get_sort().bv_size() - p[0].get_sort().bv_size(); + return OK(z3::expr(ctx, Z3_mk_sign_ext(ctx, i, p[0]))); + } + + case Z3_OP_EQ: { + // X == X => true + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bool_val(true)); + } + + // 010..010 == 101..101 => false + if (p[0].is_numeral() && p[1].is_numeral()) + { + // std::cout << "P0: " << p[0] << std::endl; + // std::cout << "P1: " << p[1] << std::endl; + + const std::string p0_str = Z3_get_numeral_binary_string(e.ctx(), p[0]); + const std::string p1_str = Z3_get_numeral_binary_string(e.ctx(), p[1]); + + if (p0_str != p1_str) + { + // std::cout << "FALSE" << std::endl; + return OK(ctx.bool_val(false)); + } + } + + return OK(p[0] == p[1]); + } + + case Z3_OP_SLEQ: { + // X <=s X => true + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bool_val(true)); + } + + return OK(z3::expr(ctx, Z3_mk_bvsle(ctx, p[0], p[1]))); + } + + case Z3_OP_SLT: { + // X 0 + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bool_val(false)); + } + + return OK(z3::expr(ctx, Z3_mk_bvslt(ctx, p[0], p[1]))); + } + + case Z3_OP_ULEQ: { + // X <= X => 1 + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bool_val(true)); + } + + return OK(z3::expr(ctx, Z3_mk_bvule(ctx, p[0], p[1]))); + } + + case Z3_OP_ULT: { + // X < 0 => 0 + if (is_zero(p[1])) + { + return OK(ctx.bool_val(false)); + } + // X < X => 0 + if (is_x_y(p[0], p[1])) + { + return OK(ctx.bool_val(false)); + } + + return OK(z3::expr(ctx, Z3_mk_bvult(ctx, p[0], p[1]))); + } + + case Z3_OP_ITE: { + // ITE(false, a, b) => b + if (p[0].is_false()) + { + return OK(p[2]); + } + // ITE(true, a, b) => a + if (p[0].is_true()) + { + return OK(p[1]); + } + // ITE(a, b, b) => b + if (is_x_y(p[1], p[2])) + { + return OK(p[1]); + } + + return OK(z3::ite(p[0], p[1], p[2])); + } + + default: + return ERR("could not simplify sub-expression in abstract syntax tree: not implemented for given node type " + std::to_string(op)); + } + } + + } // namespace + + namespace z3_utils + { + Result simplify_local(const z3::expr& e, std::unordered_map& cache) + { + return simplify_internal(e, cache, false); + } + + Result simplify_local(const z3::expr& e, const bool check) + { + const u32 max_loop_iterations = 128; + u32 iteration = 0; + + z3::expr res = e; + z3::expr prev_res = res; + + std::unordered_map cache; + do + { + // TODO remove + // std::cout << "Simplifying: " << res << std::endl; + + prev_res = res; + const auto simplify_res = simplify_internal(res, cache, check); + if (simplify_res.is_error()) + { + return simplify_res; + } + const auto [it, _] = cache.insert({e.id(), simplify_res.get()}); + res = it->second; + + // TODO remove + // return OK(res); + + iteration++; + if (iteration > max_loop_iterations) + { + std::cout << "PREV: " << std::endl; + std::cout << prev_res << std::endl; + std::cout << "CURR: " << std::endl; + std::cout << res << std::endl; + + return ERR("Triggered max iteration counter during simplificaton!"); + } + } while (!z3::eq(prev_res, res)); + + if (check && !check_correctness(e, res)) + { + return ERR("Simplification failed"); + } + + return OK(res); + } + + } // namespace z3_utils +} // namespace hal diff --git a/plugins/z3_utils/src/subgraph_function_generator.cpp b/plugins/z3_utils/src/subgraph_function_generator.cpp index 3609a35ae58..3260af46eab 100644 --- a/plugins/z3_utils/src/subgraph_function_generator.cpp +++ b/plugins/z3_utils/src/subgraph_function_generator.cpp @@ -151,7 +151,7 @@ namespace hal return get_subgraph_z3_function_internal(subgraph_gates, subgraph_output, ctx, net_cache, gate_cache); } - Result> get_subgraph_z3_functions(const std::vector& subgraph_gates, const std::vector subgraph_outputs, z3::context& ctx) + Result> get_subgraph_z3_functions(const std::vector& subgraph_gates, const std::vector& subgraph_outputs, z3::context& ctx) { std::map net_cache; std::map, BooleanFunction> gate_cache; diff --git a/plugins/z3_utils/src/z3_utils.cpp b/plugins/z3_utils/src/z3_utils.cpp index 200f79b70de..46307c70cde 100644 --- a/plugins/z3_utils/src/z3_utils.cpp +++ b/plugins/z3_utils/src/z3_utils.cpp @@ -31,15 +31,24 @@ namespace hal case BooleanFunction::NodeType::Index: return {true, context.bv_val(node.index, node.size)}; case BooleanFunction::NodeType::Constant: { - // since our constants are defined as arbitrary bit-vectors, - // we have to concat each bit just to be on the safe side - auto constant = context.bv_val(node.constant.front(), 1); - for (u32 i = 1; i < node.constant.size(); i++) + std::vector bits; + for (u32 i = 0; i < node.constant.size(); i++) { - const auto bit = node.constant.at(i); - constant = z3::concat(context.bv_val(bit, 1), constant); + if (node.constant.at(i) == BooleanFunction::Value::ONE) + { + bits.push_back(1); + } + else if (node.constant.at(i) == BooleanFunction::Value::ZERO) + { + bits.push_back(0); + } + else + { + return {false, z3::expr(context)}; + } } - return {true, constant}; + + return {true, context.bv_val(bits.size(), reinterpret_cast(bits.data()))}; } case BooleanFunction::NodeType::Variable: { if (auto it = var2expr.find(node.variable); it != var2expr.end()) @@ -100,7 +109,7 @@ namespace hal case BooleanFunction::NodeType::Ult: return {true, z3::ult(p[0], p[1])}; case BooleanFunction::NodeType::Ite: - return {true, z3::ite(p[0] == context.bv_val(1, 1), p[1], p[2])}; + return {true, z3::ite(p[0], p[1], p[2])}; default: log_error("netlist", "Not implemented reached for nodetype {} in z3 conversion", node.type); return {false, z3::expr(context)}; @@ -133,236 +142,321 @@ namespace hal } } - Result to_bf(const z3::expr& e) + Result value_from_binary_string(z3::context& context, const std::string& bit_string) { - u64 size; - if (e.is_bv()) + std::vector bits; + for (u32 i = 0; i < bit_string.length(); i++) { - size = e.get_sort().bv_size(); - - if (size > 64) + if (bit_string.at(i) == '1') { - return ERR("can only translate bit vector sizes < 64, but input bit vector has size " + std::to_string(size)); + bits.push_back(1); } - - if (e.is_numeral()) + else if (bit_string.at(i) == '0') { - return OK(BooleanFunction::Const(e.get_numeral_uint64(), size)); + bits.push_back(0); } - else if (e.is_const()) + else { - return OK(BooleanFunction::Var(e.to_string(), size)); + return ERR("cannot generate value from binary string: encountered unexpected character " + bit_string.at(i)); } } - const auto op = e.decl().decl_kind(); - auto num_args = e.num_args(); - std::vector args; + return OK(context.bv_val(bits.size(), reinterpret_cast(bits.data()))); + } - for (u32 i = 0; i < e.num_args(); i++) + namespace + { + Result to_bf_internal(const z3::expr& e, std::map& cache) { - const auto arg = e.arg(i); - if (const auto res = to_bf(arg); res.is_ok()) - { - args.push_back(std::move(res.get())); - } - else + u64 size; + if (e.is_bv()) { - return ERR(res.get_error()); - } - } + size = e.get_sort().bv_size(); - switch (op) - { - case Z3_OP_BAND: { - auto bf_res = BooleanFunction::And(std::move(args.at(0)), std::move(args.at(1)), size); - for (u64 i = 2; i < num_args; i++) + if (e.is_numeral()) { - bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::And(std::move(bf), std::move(arg), size); }); + if (size <= 64) + { + return OK(BooleanFunction::Const(e.get_numeral_uint64(), size)); + } + else + { + std::vector boolean_values; + const std::string val_str = Z3_get_numeral_binary_string(e.ctx(), e); + for (u32 idx = 0; idx < val_str.size(); idx++) + { + if (val_str.at(idx) == '1') + { + boolean_values.push_back(BooleanFunction::Value::ONE); + } + else if (val_str.at(idx) == '0') + { + boolean_values.push_back(BooleanFunction::Value::ZERO); + } + else + { + return ERR("cannot convert expression to boolean function: failed to translate character " + std::to_string(val_str.at(idx)) + " to Boolean value."); + } + } + + return OK(BooleanFunction::Const(boolean_values)); + } } - return bf_res; - } - case Z3_OP_BOR: { - auto bf_res = BooleanFunction::Or(std::move(args.at(0)), std::move(args.at(1)), size); - for (u64 i = 2; i < num_args; i++) + else if (e.is_const()) { - bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Or(std::move(bf), std::move(arg), size); }); + // std::cout << e << std::endl; + const std::string name = e.decl().name().str(); + return OK(BooleanFunction::Var(name, size)); } - return bf_res; - } - case Z3_OP_BNOT: { - if (num_args != 1) + else if (e.is_var()) { - return ERR("operation 'NOT' must have arity 1"); + const std::string name = e.decl().name().str(); + return OK(BooleanFunction::Var(name, size)); } - return BooleanFunction::Not(std::move(args.at(0)), size); } - case Z3_OP_BXOR: { - auto bf_res = BooleanFunction::Xor(std::move(args.at(0)), std::move(args.at(1)), size); - for (u64 i = 2; i < num_args; i++) + + // if (const auto it = cache.find(e); it != cache.end()) + // { + // return OK(it->second); + // } + + const auto op = e.decl().decl_kind(); + auto num_args = e.num_args(); + std::vector args; + + for (u32 i = 0; i < e.num_args(); i++) + { + const auto arg = e.arg(i); + if (const auto res = to_bf_internal(arg, cache); res.is_ok()) { - bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Xor(std::move(bf), std::move(arg), size); }); + // const auto [it, _] = cache.insert({arg, res.get()}); + // args.push_back(it->second.clone()); + args.push_back(res.get()); } - return bf_res; - } - case Z3_OP_BADD: { - auto bf_res = BooleanFunction::Add(std::move(args.at(0)), std::move(args.at(1)), size); - for (u64 i = 2; i < num_args; i++) + else { - bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Add(std::move(bf), std::move(arg), size); }); + return ERR(res.get_error()); } - return bf_res; } - case Z3_OP_BSUB: { - auto bf_res = BooleanFunction::Sub(std::move(args.at(0)), std::move(args.at(1)), size); - for (u64 i = 2; i < num_args; i++) - { - bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Sub(std::move(bf), std::move(arg), size); }); + + switch (op) + { + case Z3_OP_BAND: { + auto bf_res = BooleanFunction::And(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = + bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::And(std::move(bf), std::move(arg), size); }); + } + return bf_res; } - return bf_res; - } - case Z3_OP_BMUL: { - auto bf_res = BooleanFunction::Mul(std::move(args.at(0)), std::move(args.at(1)), size); - for (u64 i = 2; i < num_args; i++) - { - bf_res = bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Mul(std::move(bf), std::move(arg), size); }); + case Z3_OP_BOR: { + auto bf_res = BooleanFunction::Or(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = + bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Or(std::move(bf), std::move(arg), size); }); + } + return bf_res; } - return bf_res; - } - case Z3_OP_BSDIV: - if (num_args != 2) - { - return ERR("operation 'SDIV' must have arity 2"); + case Z3_OP_BNOT: { + if (num_args != 1) + { + return ERR("operation 'NOT' must have arity 1"); + } + return BooleanFunction::Not(std::move(args.at(0)), size); } - return BooleanFunction::Sdiv(std::move(args.at(0)), std::move(args.at(1)), size); - case Z3_OP_BUDIV: - if (num_args != 2) - { - return ERR("operation 'UDIV' must have arity 2"); + case Z3_OP_BXOR: { + auto bf_res = BooleanFunction::Xor(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = + bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Xor(std::move(bf), std::move(arg), size); }); + } + return bf_res; } - return BooleanFunction::Udiv(std::move(args.at(0)), std::move(args.at(1)), size); - case Z3_OP_BSREM: - if (num_args != 2) - { - return ERR("operation 'SREM' must have arity 2"); + case Z3_OP_BNEG: { + if (num_args != 1) + { + return ERR("operation 'NEG' must have arity 1"); + } + return ERR("Negation not implemented"); } - return BooleanFunction::Srem(std::move(args.at(0)), std::move(args.at(1)), size); - case Z3_OP_BUREM: - if (num_args != 2) - { - return ERR("operation 'UREM' must have arity 2"); + case Z3_OP_BADD: { + auto bf_res = BooleanFunction::Add(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = + bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Add(std::move(bf), std::move(arg), size); }); + } + return bf_res; } - return BooleanFunction::Urem(std::move(args.at(0)), std::move(args.at(1)), size); - case Z3_OP_CONCAT: { - auto bf_res = BooleanFunction::Concat(std::move(args.at(0)), std::move(args.at(1)), size); - for (u64 i = 2; i < num_args; i++) - { - bf_res = - bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Concat(std::move(bf), std::move(arg), size); }); + case Z3_OP_BSUB: { + auto bf_res = BooleanFunction::Sub(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = + bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Sub(std::move(bf), std::move(arg), size); }); + } + return bf_res; } - return bf_res; - } - case Z3_OP_EXTRACT: { - if (num_args != 1) - { - return ERR("operation 'SLICE' must have arity 1"); + case Z3_OP_BMUL: { + auto bf_res = BooleanFunction::Mul(std::move(args.at(0)), std::move(args.at(1)), size); + for (u64 i = 2; i < num_args; i++) + { + bf_res = + bf_res.map([arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Mul(std::move(bf), std::move(arg), size); }); + } + return bf_res; } + case Z3_OP_BSDIV: + if (num_args != 2) + { + return ERR("operation 'SDIV' must have arity 2"); + } + return BooleanFunction::Sdiv(std::move(args.at(0)), std::move(args.at(1)), size); + case Z3_OP_BUDIV: + if (num_args != 2) + { + return ERR("operation 'UDIV' must have arity 2"); + } + return BooleanFunction::Udiv(std::move(args.at(0)), std::move(args.at(1)), size); + case Z3_OP_BSREM: + if (num_args != 2) + { + return ERR("operation 'SREM' must have arity 2"); + } + return BooleanFunction::Srem(std::move(args.at(0)), std::move(args.at(1)), size); + case Z3_OP_BUREM: + if (num_args != 2) + { + return ERR("operation 'UREM' must have arity 2"); + } + return BooleanFunction::Urem(std::move(args.at(0)), std::move(args.at(1)), size); + case Z3_OP_CONCAT: { + auto bf_res = BooleanFunction::Concat(std::move(args.at(0)), std::move(args.at(1)), args.at(0).size() + args.at(1).size()); + for (u64 i = 2; i < num_args; i++) + { + bf_res = bf_res.map( + [arg = std::move(args.at(i)), size](BooleanFunction&& bf) mutable { return BooleanFunction::Concat(std::move(bf), std::move(arg), bf.size() + arg.size()); }); + } + return bf_res; + } + case Z3_OP_EXTRACT: { + if (num_args != 1) + { + return ERR("operation 'SLICE' must have arity 1"); + } - const u32 operand_size = args.at(0).size(); + const u32 operand_size = args.at(0).size(); - return BooleanFunction::Slice(std::move(args.at(0)), BooleanFunction::Index(e.lo(), operand_size), BooleanFunction::Index(e.hi(), operand_size), size); - } - case Z3_OP_ZERO_EXT: { - if (num_args != 1) - { - return ERR("operation 'ZEXT' must have arity 1"); + return BooleanFunction::Slice(std::move(args.at(0)), BooleanFunction::Index(e.lo(), operand_size), BooleanFunction::Index(e.hi(), operand_size), size); } + case Z3_OP_ZERO_EXT: { + if (num_args != 1) + { + return ERR("operation 'ZEXT' must have arity 1"); + } - return BooleanFunction::Zext(std::move(args.at(0)), BooleanFunction::Index(size, size), size); - } - case Z3_OP_SIGN_EXT: { - if (num_args != 1) - { - return ERR("operation 'SEXT' must have arity 1"); + return BooleanFunction::Zext(std::move(args.at(0)), BooleanFunction::Index(size, size), size); } + case Z3_OP_SIGN_EXT: { + if (num_args != 1) + { + return ERR("operation 'SEXT' must have arity 1"); + } - return BooleanFunction::Sext(std::move(args.at(0)), BooleanFunction::Index(size, size), size); - } - case Z3_OP_BSHL: - if (num_args != 2) - { - return ERR("operation 'SHL' must have arity 2"); - } - return BooleanFunction::Shl(std::move(args.at(0)), BooleanFunction::Index((u16)args.at(1).get_constant_value_u64().get(), size), size); - case Z3_OP_BLSHR: - if (num_args != 2) - { - return ERR("operation 'LSHR' must have arity 2"); - } - return BooleanFunction::Lshr(std::move(args.at(0)), BooleanFunction::Index((u16)args.at(1).get_constant_value_u64().get(), size), size); - case Z3_OP_BASHR: - if (num_args != 2) - { - return ERR("operation 'ASHR' must have arity 2"); - } - return BooleanFunction::Ashr(std::move(args.at(0)), BooleanFunction::Index((u16)args.at(1).get_constant_value_u64().get(), size), size); - case Z3_OP_ROTATE_LEFT: - if (num_args != 1) - { - return ERR("operation 'ROL' must have arity 1"); - } - return BooleanFunction::Rol(std::move(args.at(0)), BooleanFunction::Index((u16)Z3_get_decl_int_parameter(Z3_context(e.ctx()), Z3_func_decl(e.decl()), 0), size), size); - case Z3_OP_ROTATE_RIGHT: - if (num_args != 1) - { - return ERR("operation 'ROR' must have arity 1"); - } - return BooleanFunction::Ror(std::move(args.at(0)), BooleanFunction::Index((u16)Z3_get_decl_int_parameter(Z3_context(e.ctx()), Z3_func_decl(e.decl()), 0), size), size); - case Z3_OP_EQ: - if (num_args != 2) - { - return ERR("operation 'EQ' must have arity 2"); - } - return BooleanFunction::Eq(std::move(args.at(0)), std::move(args.at(1)), 1); - case Z3_OP_SLEQ: - if (num_args != 2) - { - return ERR("operation 'SLE' must have arity 2"); + return BooleanFunction::Sext(std::move(args.at(0)), BooleanFunction::Index(size, size), size); } - return BooleanFunction::Sle(std::move(args.at(0)), std::move(args.at(1)), 1); - case Z3_OP_SLT: - if (num_args != 2) - { - return ERR("operation 'SLT' must have arity 2"); - } - return BooleanFunction::Slt(std::move(args.at(0)), std::move(args.at(1)), 1); - case Z3_OP_ULEQ: - if (num_args != 2) - { - return ERR("operation 'ULE' must have arity 2"); - } - return BooleanFunction::Ule(std::move(args.at(0)), std::move(args.at(1)), 1); - case Z3_OP_ULT: - if (num_args != 2) - { - return ERR("operation 'ULT' must have arity 2"); - } - return BooleanFunction::Ult(std::move(args.at(0)), std::move(args.at(1)), 1); - case Z3_OP_ITE: - if (num_args != 3) - { - return ERR("operation 'ITE' must have arity 3"); - } - return BooleanFunction::Ite(std::move(args.at(0)), std::move(args.at(1)), std::move(args.at(2)), size); - default: - return ERR("operation '" + e.decl().name().str() + "' with arity " + std::to_string(num_args) + " is not yet implemented"); + case Z3_OP_BSHL: + if (num_args != 2) + { + return ERR("operation 'SHL' must have arity 2"); + } + return BooleanFunction::Shl(std::move(args.at(0)), BooleanFunction::Index((u16)args.at(1).get_constant_value_u64().get(), size), size); + case Z3_OP_BLSHR: + if (num_args != 2) + { + return ERR("operation 'LSHR' must have arity 2"); + } + return BooleanFunction::Lshr(std::move(args.at(0)), BooleanFunction::Index((u16)args.at(1).get_constant_value_u64().get(), size), size); + case Z3_OP_BASHR: + if (num_args != 2) + { + return ERR("operation 'ASHR' must have arity 2"); + } + return BooleanFunction::Ashr(std::move(args.at(0)), BooleanFunction::Index((u16)args.at(1).get_constant_value_u64().get(), size), size); + case Z3_OP_ROTATE_LEFT: + if (num_args != 1) + { + return ERR("operation 'ROL' must have arity 1"); + } + return BooleanFunction::Rol(std::move(args.at(0)), BooleanFunction::Index((u16)Z3_get_decl_int_parameter(Z3_context(e.ctx()), Z3_func_decl(e.decl()), 0), size), size); + case Z3_OP_ROTATE_RIGHT: + if (num_args != 1) + { + return ERR("operation 'ROR' must have arity 1"); + } + return BooleanFunction::Ror(std::move(args.at(0)), BooleanFunction::Index((u16)Z3_get_decl_int_parameter(Z3_context(e.ctx()), Z3_func_decl(e.decl()), 0), size), size); + case Z3_OP_EQ: + if (num_args != 2) + { + return ERR("operation 'EQ' must have arity 2"); + } + return BooleanFunction::Eq(std::move(args.at(0)), std::move(args.at(1)), 1); + case Z3_OP_SLEQ: + if (num_args != 2) + { + return ERR("operation 'SLE' must have arity 2"); + } + return BooleanFunction::Sle(std::move(args.at(0)), std::move(args.at(1)), 1); + case Z3_OP_SLT: + if (num_args != 2) + { + return ERR("operation 'SLT' must have arity 2"); + } + return BooleanFunction::Slt(std::move(args.at(0)), std::move(args.at(1)), 1); + case Z3_OP_ULEQ: + if (num_args != 2) + { + return ERR("operation 'ULE' must have arity 2"); + } + return BooleanFunction::Ule(std::move(args.at(0)), std::move(args.at(1)), 1); + case Z3_OP_ULT: + if (num_args != 2) + { + return ERR("operation 'ULT' must have arity 2"); + } + return BooleanFunction::Ult(std::move(args.at(0)), std::move(args.at(1)), 1); + case Z3_OP_ITE: + if (num_args != 3) + { + return ERR("operation 'ITE' must have arity 3"); + } + return BooleanFunction::Ite(std::move(args.at(0)), std::move(args.at(1)), std::move(args.at(2)), size); + default: + return ERR("operation '" + e.decl().name().str() + "' with arity " + std::to_string(num_args) + " is not yet implemented"); + } } + } // namespace + + Result to_bf(const z3::expr& e) + { + std::map cache; + return to_bf_internal(e, cache); } std::string to_smt2(const z3::expr& e) { auto s = z3::solver(e.ctx()); - s.add(e == e.ctx().bv_val(0, 1)); + if (e.get_sort().is_bv()) + { + s.add(e == e.ctx().bv_val(0, e.get_sort().bv_size())); + } + else + { + s.add(e == e.ctx().bool_val(true)); + } return s.to_smt2(); } @@ -386,27 +480,36 @@ namespace hal std::set get_variable_names(const z3::expr& e) { - std::set var_names; + std::set visited = {e.id()}; + std::vector stack = {e}; - // get inputs from smt2 string, much faster than iterating over z3 things - const auto smt = to_smt2(e); + std::set var_names; - std::istringstream iss(smt); - for (std::string line; std::getline(iss, line);) + while (!stack.empty()) { - if (line.find("declare-fun") != std::string::npos) + const auto n = stack.back(); + stack.pop_back(); + + if (n.is_numeral()) { - auto start_index = line.find_first_of(' ') + 1; // variable name starts after the first space - auto end_index = line.find_first_of(' ', start_index); + continue; + } - if (start_index == std::string::npos + 1 || end_index == std::string::npos) + if (n.is_var() || n.is_const()) + { + var_names.insert(n.to_string()); + } + else + { + for (u32 i = 0; i < n.num_args(); i++) { - log_error("z3_utils", "Some variables in line '{}' do not seem to fit in our handled format!", line); - continue; + const auto a_i = n.arg(i); + if (visited.find(a_i.id()) == visited.end()) + { + visited.insert(a_i.id()); + stack.push_back(a_i); + } } - - auto var_name = line.substr(start_index, end_index - start_index); - var_names.insert(var_name); } } @@ -418,6 +521,7 @@ namespace hal return extract_net_ids(get_variable_names(e)); } + // TODO make this return a result std::set extract_net_ids(const std::set& variable_names) { std::set net_ids; diff --git a/plugins/z3_utils/test/CMakeLists.txt b/plugins/z3_utils/test/CMakeLists.txt index 4ac6382511d..22373695e89 100644 --- a/plugins/z3_utils/test/CMakeLists.txt +++ b/plugins/z3_utils/test/CMakeLists.txt @@ -3,7 +3,7 @@ if(BUILD_TESTS) add_executable(runTest-z3_utils z3_utils.cpp) - target_link_libraries(runTest-z3_utils z3_utils pthread gtest hal::core hal::netlist test_utils) + target_link_libraries(runTest-z3_utils z3_utils gtest hal::core hal::netlist test_utils) add_test(runTest-z3_utils ${CMAKE_BINARY_DIR}/bin/hal_plugins/runTest-z3_utils --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) diff --git a/plugins/z3_utils/test/z3_utils.cpp b/plugins/z3_utils/test/z3_utils.cpp index 22dddfa27e6..939dea611aa 100644 --- a/plugins/z3_utils/test/z3_utils.cpp +++ b/plugins/z3_utils/test/z3_utils.cpp @@ -37,7 +37,7 @@ namespace hal auto a = BooleanFunction::Var("A", bits); auto b = BooleanFunction::Var("B", bits); auto c = BooleanFunction::Var("C", bits); - auto cond = BooleanFunction::Var("cond", 1); + auto cond = BooleanFunction::Eq(a.clone(), b.clone(), 1).get(); auto bf_not_res = BooleanFunction::Not(a.clone(), bits); ASSERT_TRUE(bf_not_res.is_ok()); @@ -192,14 +192,14 @@ namespace hal auto bft_ult = bft_ult_res.get(); EXPECT_EQ(bf_ult, bft_ult); - // auto bf_ite_res = BooleanFunction::Ite(cond.clone(), b.clone(), c.clone(), bits); - // ASSERT_TRUE(bf_ite_res.is_ok()); - // auto bf_ite = bf_ite_res.get(); - // auto z3_ite = z3_utils::from_bf(bf_ite, ctx); - // auto bft_ite_res = z3_utils::to_bf(z3_ite); - // ASSERT_TRUE(bft_ite_res.is_ok()); - // auto bft_ite = bft_ite_res.get(); - // EXPECT_EQ(bf_ite, bft_ite); + auto bf_ite_res = BooleanFunction::Ite(cond.clone(), b.clone(), c.clone(), bits); + ASSERT_TRUE(bf_ite_res.is_ok()); + auto bf_ite = bf_ite_res.get(); + auto z3_ite = z3_utils::from_bf(bf_ite, ctx); + auto bft_ite_res = z3_utils::to_bf(z3_ite); + ASSERT_TRUE(bft_ite_res.is_ok()); + auto bft_ite = bft_ite_res.get(); + EXPECT_EQ(bf_ite, bft_ite); } } { diff --git a/src/netlist/CMakeLists.txt b/src/netlist/CMakeLists.txt index 1d011425c5f..79139cb5a34 100644 --- a/src/netlist/CMakeLists.txt +++ b/src/netlist/CMakeLists.txt @@ -5,9 +5,9 @@ add_library(netlist SHARED ${NETLIST_LIB_INCLUDE} ${NETLIST_LIB_SOURCE} ${CMAKE_ set_target_properties(netlist PROPERTIES OUTPUT_NAME "hal_netlist") add_library(hal::netlist ALIAS netlist) -if(Bitwuzla_FOUND) +if(BITWUZLA_FOUND) add_compile_definitions(BITWUZLA_LIBRARY) - message(STATUS "found bitwuzla, adding define for solver query to bitwuzla library") + message(STATUS "found bitwuzla, adding define for solver query to bitwuzla library") endif() @@ -17,11 +17,12 @@ target_include_directories(netlist $ $ ${Z3_INCLUDE_DIRS} + ${BITWUZLA_INCLUDE_DIRS} ${RAPIDJSON_INCLUDEDIR} ) target_compile_options(netlist - PUBLIC ${COMPILE_OPTIONS_PUBLIC} + PUBLIC ${COMPILE_OPTIONS_PUBLIC} ${BITWUZLA_CFLAGS_OTHER} PRIVATE ${COMPILE_OPTIONS_PRIVATE} INTERFACE ${COMPILE_OPTIONS_INTERFACE}) set_target_properties(PROPERTIES DEFINE_SYMBOL BUILDING_CORE) @@ -37,7 +38,7 @@ target_link_libraries(netlist RapidJSON::RapidJSON ${Z3_LIBRARIES} ${ABC_LIBRARY} - ${BITWUZLA_LIBRARY} + ${BITWUZLA_LINK_LIBRARIES} ) install(TARGETS netlist @@ -54,5 +55,4 @@ install(TARGETS netlist ) if(${CMAKE_BUILD_TYPE} STREQUAL "Debug") add_sanitizers(netlist) -endif() - +endif() \ No newline at end of file diff --git a/src/netlist/boolean_function.cpp b/src/netlist/boolean_function.cpp index 47bbb4e6bb8..fb059f2e6b0 100644 --- a/src/netlist/boolean_function.cpp +++ b/src/netlist/boolean_function.cpp @@ -415,7 +415,8 @@ namespace hal auto end = p2.get_index_value().get(); if ((start > end) || (start >= p0.size()) || (end >= p0.size()) || (end - start + 1) != size) { - return ERR("could not apply SLICE operation: bit-sizes do not match (p0 = " + std::to_string(p0.size()) + ", p1 = " + std::to_string(start) + ", p2 = " + std::to_string(end) + ")"); + return ERR("could not apply SLICE operation: bit indices are not valid, p1 must be larger or equal than p1 and smaller than p0 (p0 = " + std::to_string(p0.size()) + + ", p1 = " + std::to_string(start) + ", p2 = " + std::to_string(end) + ")"); } return OK(BooleanFunction(Node::Operation(NodeType::Slice, size), std::move(p0), std::move(p1), std::move(p2))); @@ -742,7 +743,7 @@ namespace hal u16 BooleanFunction::size() const { - return this->m_nodes.back().size; + return this->get_top_level_node().size; } bool BooleanFunction::is(u16 type) const diff --git a/src/netlist/boolean_function/parser_standard.cpp b/src/netlist/boolean_function/parser_standard.cpp index 6feb0c13e3e..142c6290171 100644 --- a/src/netlist/boolean_function/parser_standard.cpp +++ b/src/netlist/boolean_function/parser_standard.cpp @@ -4,6 +4,7 @@ #include #include #include +#include namespace hal { @@ -29,7 +30,7 @@ namespace hal const auto VariableAction = [&tokens](auto& ctx) { // # Developer Note - // We combine the first matched character with the remaining + // We combine the first matched character with the remaining // string and do not remove any preceding '/' character. std::stringstream name; name << std::string(1, boost::fusion::at_c<0>(_attr(ctx))); @@ -38,9 +39,9 @@ namespace hal tokens.emplace_back(BooleanFunctionParser::Token::Variable(name.str(), 1)); }; const auto VariableIndexAction = [&tokens](auto& ctx) { - // # Developer Note - // Since the first character is an optional '\' character and - // generally escaped a.k.a. removed within HAL, we also do not + // # Developer Note + // Since the first character is an optional '\' character and + // generally escaped a.k.a. removed within HAL, we also do not // touch the part and only assemble the remaining string. std::stringstream name; name << std::string(1, boost::fusion::at_c<1>(_attr(ctx))); @@ -69,9 +70,11 @@ namespace hal const auto BracketOpenRule = x3::lit("(")[BracketOpenAction]; const auto BracketCloseRule = x3::lit(")")[BracketCloseAction]; - const auto VariableRule = x3::lexeme[(x3::char_("a-zA-Z") >> *x3::char_("a-zA-Z0-9_"))][VariableAction]; - const auto VariableIndexRoundBracketRule = x3::lexeme[(-(x3::char_("\\")) >> x3::char_("a-zA-Z") >> *x3::char_("a-zA-Z0-9_") >> x3::char_("(") >> x3::int_ >> x3::char_(")"))] [VariableIndexAction]; - const auto VariableIndexSquareBracketRule = x3::lexeme[(-(x3::char_("\\")) >> x3::char_("a-zA-Z") >> *x3::char_("a-zA-Z0-9_") >> x3::char_("[") >> x3::int_ >> x3::char_("]"))] [VariableIndexAction]; + const auto VariableRule = x3::lexeme[(x3::char_("a-zA-Z") >> *x3::char_("a-zA-Z0-9_"))][VariableAction]; + const auto VariableIndexRoundBracketRule = + x3::lexeme[(-(x3::char_("\\")) >> x3::char_("a-zA-Z") >> *x3::char_("a-zA-Z0-9_") >> x3::char_("(") >> x3::int_ >> x3::char_(")"))][VariableIndexAction]; + const auto VariableIndexSquareBracketRule = + x3::lexeme[(-(x3::char_("\\")) >> x3::char_("a-zA-Z") >> *x3::char_("a-zA-Z0-9_") >> x3::char_("[") >> x3::int_ >> x3::char_("]"))][VariableIndexAction]; const auto VariableIndexRule = VariableIndexRoundBracketRule | VariableIndexSquareBracketRule; const auto ConstantRule = x3::lexeme[x3::char_("0-1")][ConstantAction]; diff --git a/src/netlist/boolean_function/simplification_abc.cpp b/src/netlist/boolean_function/simplification_abc.cpp index 02a768bffe6..0b409ff0edf 100644 --- a/src/netlist/boolean_function/simplification_abc.cpp +++ b/src/netlist/boolean_function/simplification_abc.cpp @@ -4,7 +4,8 @@ #include #include #include -#include +#include +#include extern "C" { //////////////////////////////////////////////////////////////////////////// diff --git a/src/netlist/boolean_function/solver.cpp b/src/netlist/boolean_function/solver.cpp index 0082d23e92a..4e46e3163a3 100644 --- a/src/netlist/boolean_function/solver.cpp +++ b/src/netlist/boolean_function/solver.cpp @@ -2,15 +2,20 @@ #include "hal_core/netlist/boolean_function/translator.h" #include "hal_core/netlist/boolean_function/types.h" +#include "hal_core/utilities/log.h" +#include "hal_core/utilities/utils.h" #include "subprocess/process.h" +#include +#include +#include #include #include #ifdef BITWUZLA_LIBRARY -#include "bitwuzla/bitwuzla.h" +#include "bitwuzla/cpp/bitwuzla.h" +#include "bitwuzla/cpp/parser.h" #endif - namespace hal { namespace SMT @@ -80,6 +85,7 @@ namespace hal z3.close_output(); z3.close_error(); z3.kill(); + // log_info("solver", "\ninput: \n{}\n\noutput:{}\n", input, output); return OK({false, output}); } @@ -96,9 +102,28 @@ namespace hal */ Result> query_library(std::string& input, const QueryConfig& config) { - UNUSED(input); - UNUSED(config); - return ERR("could not call Z3 solver library: Library call not implemented"); + // z3::context ctx; + + // z3::solver s = {ctx}; + // s.from_string(input.c_str()); + + // const auto res = s.check(); + + // if (res == z3::unknown) + // { + // return OK({true, "unknown"}); + // } + + // if (res == z3::unsat) + // { + // return OK({false, "unsat"}); + // } + // std::cout << s.get_model().to_string() << std::endl; + + // // std::cout << s.get_model().to_string() << std::endl; + // return OK({false, s.get_model().to_string()}); + + return ERR("Not implemented reached"); } } // namespace Z3 @@ -233,39 +258,42 @@ namespace hal Result> query_library(std::string& input, const QueryConfig& config) { #ifdef BITWUZLA_LIBRARY - auto bzla = bitwuzla_new(); + // First, create a Bitwuzla options instance. + bitwuzla::Options options; + // We will parse example file `smt2/quickstart.smt2`. + // Create parser instance. + // We expect no error to occur. const char* smt2_char_string = input.c_str(); - char* out; - size_t out_len = {}; + auto in_stream = fmemopen((void*)smt2_char_string, strlen(smt2_char_string), "r"); + std::stringbuf result_string; + std::ostream output_stream(&result_string); - auto in_stream = fmemopen((void*)smt2_char_string, strlen(smt2_char_string), "r"); - auto out_stream = open_memstream(&out, &out_len); - - BitwuzlaResult _r; - char* error; + std::vector all_vars; if (config.generate_model) { - bitwuzla_set_option(bzla, BITWUZLA_OPT_PRODUCE_MODELS, 1); + options.set(bitwuzla::Option::PRODUCE_MODELS, true); } - auto res = bitwuzla_parse_format(bzla, "smt2", in_stream, "VIRTUAL FILE", out_stream, &error, &_r); - fflush(out_stream); + // std::filesystem::path tmp_path = utils::get_unique_temp_directory().get(); + // std::string output_file = std::string(tmp_path) + "/out.smt2"; + + bitwuzla::parser::Parser parser(options, "VIRTUAL_FILE", in_stream, "smt2", &output_stream); + // Now parse the input file. + std::string err_msg = parser.parse(false); - if (error != nullptr) + if (!err_msg.empty()) { - return ERR("failed to solve provided smt2 solver with bitwuzla: " + std::string(error)); + return ERR("failed to parse input file: " + err_msg); } fclose(in_stream); - fclose(out_stream); - - bitwuzla_delete(bzla); - - std::string output(out); + std::string output(result_string.str()); + // std::cout << "output" << std::endl; + // std::cout << output << std::endl; return OK({false, output}); #else return ERR("Bitwuzla Library not linked!"); @@ -381,7 +409,7 @@ namespace hal return false; } } - else if (call == SolverCall::Binary) + else if (call == SolverCall::Library) { switch (auto it = type2link_status.find(type); it != type2link_status.end()) { @@ -430,7 +458,12 @@ namespace hal } auto input_str = input.get(); - auto query = spec2query.at({config.solver, config.call})(input_str, config); + return query_local(config, input_str); + } + + Result Solver::query_local(const QueryConfig& config, std::string& smt2) + { + auto query = spec2query.at({config.solver, config.call})(smt2, config); if (query.is_ok()) { auto [was_killed, output] = query.get(); @@ -529,6 +562,7 @@ namespace hal }); }; + auto preamble = ((config.generate_model) ? std::string("(set-option :produce-models true)\n") : ""); auto theory = std::string("(set-logic QF_ABV)"); auto declarations = translate_declarations(constraints); auto constraints_str = translate_constraints(constraints); @@ -536,7 +570,7 @@ namespace hal if (constraints_str.is_ok()) { - return OK(theory + "\n" + declarations + "\n" + constraints_str.get() + "\n" + epilogue); + return OK(preamble + theory + "\n" + declarations + "\n" + constraints_str.get() + "\n" + epilogue); } return ERR_APPEND(constraints_str.get_error(), "could not translate constraint to SMT-LIB v2: unable to generate translation constraints"); } diff --git a/src/netlist/boolean_function/symbolic_execution.cpp b/src/netlist/boolean_function/symbolic_execution.cpp index e9a39e46a89..702b366d44a 100644 --- a/src/netlist/boolean_function/symbolic_execution.cpp +++ b/src/netlist/boolean_function/symbolic_execution.cpp @@ -132,6 +132,20 @@ namespace hal */ BooleanFunction Add(const std::vector& p0, const std::vector& p1) { + if (p0.size() <= 64 && p1.size() <= 64) + { + const auto a_res = BooleanFunction::to_u64(p0); + const auto b_res = BooleanFunction::to_u64(p1); + + if (a_res.is_ok() && b_res.is_ok()) + { + const auto res = (a_res.get() + b_res.get()) & 0xffffffff; + return BooleanFunction::Const(res, p0.size()); + } + + return BooleanFunction::Const(std::vector(p0.size(), BooleanFunction::Value::X)); + } + if (std::any_of(p0.begin(), p0.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; }) || std::any_of(p1.begin(), p1.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; })) { @@ -159,6 +173,20 @@ namespace hal */ BooleanFunction Sub(const std::vector& p0, const std::vector& p1) { + if (p0.size() <= 64 && p1.size() <= 64) + { + const auto a_res = BooleanFunction::to_u64(p0); + const auto b_res = BooleanFunction::to_u64(p1); + + if (a_res.is_ok() && b_res.is_ok()) + { + const auto res = (a_res.get() - b_res.get()) & 0xffffffff; + return BooleanFunction::Const(res, p0.size()); + } + + return BooleanFunction::Const(std::vector(p0.size(), BooleanFunction::Value::X)); + } + if (std::any_of(p0.begin(), p0.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; }) || std::any_of(p1.begin(), p1.end(), [](auto val) { return val == BooleanFunction::Value::X || val == BooleanFunction::Value::Z; })) { @@ -470,6 +498,41 @@ namespace hal return std::move(p); } + namespace + { + /** + * Helper function to check whether one of the two functions is just the other function negated. + */ + bool is_x_not_y(const BooleanFunction& x, const BooleanFunction& y) + { + const BooleanFunction& smaller = (x.get_nodes().size() < y.get_nodes().size()) ? x : y; + const BooleanFunction& bigger = (x.get_nodes().size() < y.get_nodes().size()) ? y : x; + + // The node vector of the first function needs to be exactly one element shorter than the second + if (smaller.get_nodes().size() != (bigger.get_nodes().size() - 1)) + { + return false; + } + + // The top level node of the bigger node vector needs to be a NOT node + if (bigger.get_top_level_node().type != BooleanFunction::NodeType::Not) + { + return false; + } + + // Every n'th element in the smaller node vector has to be identical to the n'th element of the bigger node vector, except the last/top level node + for (u32 idx = 0; idx < smaller.get_nodes().size(); idx++) + { + if (smaller.get_nodes().at(idx) != bigger.get_nodes().at(idx)) + { + return false; + } + } + + return true; + } + } // namespace + Result SymbolicExecution::simplify(const BooleanFunction::Node& node, std::vector&& p) const { if (!p.empty() && std::all_of(p.begin(), p.end(), [](const auto& function) { return function.is_constant() || function.is_index(); })) @@ -523,7 +586,7 @@ namespace hal return OK(p[0]); } // X & ~X => 0 - if (~p[0] == p[1]) + if (is_x_not_y(p[0], p[1])) { return OK(BooleanFunction::Const(0, node.size)); } @@ -571,12 +634,12 @@ namespace hal } // X & (~X & Y) => 0 - if ((~p1_parameter[0] == p[0]) || (p1_parameter[0] == ~p[0])) + if (is_x_not_y(p1_parameter[0], p[0])) { return OK(BooleanFunction::Const(0, node.size)); } // X & (Y & ~X) => 0 - if ((~p1_parameter[1] == p[0]) || (p1_parameter[1] == ~p[0])) + if (is_x_not_y(p1_parameter[1], p[0])) { return OK(BooleanFunction::Const(0, node.size)); } @@ -597,12 +660,12 @@ namespace hal return OK(p[0]); } // X & (~X | Y) => X & Y - if ((~p1_parameter[0] == p[0]) || (p1_parameter[0] == ~p[0])) + if (is_x_not_y(p1_parameter[0], p[0])) { return BooleanFunction::And(p[0].clone(), p1_parameter[1].clone(), node.size); } // X & (Y | ~X) => X & Y - if ((~p1_parameter[1] == p[0]) || (p1_parameter[1] == ~p[0])) + if (is_x_not_y(p1_parameter[1], p[0])) { return BooleanFunction::And(p[0].clone(), p1_parameter[0].clone(), node.size); } @@ -624,12 +687,12 @@ namespace hal return OK(p[0]); } // (~X & Y) & X => 0 - if ((~p0_parameter[0] == p[1]) || (p0_parameter[0] == ~p[1])) + if (is_x_not_y(p0_parameter[0], p[1])) { return OK(BooleanFunction::Const(0, node.size)); } // (Y & ~X) & X => 0 - if ((~p0_parameter[1] == p[1]) || (p0_parameter[1] == ~p[1])) + if (is_x_not_y(p0_parameter[1], p[1])) { return OK(BooleanFunction::Const(0, node.size)); } @@ -650,12 +713,12 @@ namespace hal return OK(p[1]); } // (~X | Y) & X => X & Y - if ((~p0_parameter[0] == p[1]) || (p0_parameter[0] == ~p[1])) + if (is_x_not_y(p0_parameter[0], p[1])) { return BooleanFunction::And(p[1].clone(), p0_parameter[1].clone(), node.size); } // (Y | ~X) & X => X & Y - if ((~p0_parameter[1] == p[1]) || (p0_parameter[1] == ~p[1])) + if (is_x_not_y(p0_parameter[1], p[1])) { return BooleanFunction::And(p[1].clone(), p0_parameter[0].clone(), node.size); } @@ -727,7 +790,7 @@ namespace hal } // X | ~X => 111...1 - if ((~p[0] == p[1]) || (p[0] == ~p[1])) + if (is_x_not_y(p[0], p[1])) { return OK(One(node.size)); } @@ -763,7 +826,7 @@ namespace hal { auto p1_parameter = p[1].get_parameters(); // X | (Y & !X) => X | Y - if ((~p1_parameter[1]) == p[0]) + if (is_x_not_y(p1_parameter[1], p[0])) { return BooleanFunction::Or(p[0].clone(), p1_parameter[0].clone(), node.size); } @@ -775,12 +838,12 @@ namespace hal } // X | (~X & Y) => X | Y - if ((~p1_parameter[0] == p[0]) || (p1_parameter[0] == ~p[0])) + if (is_x_not_y(p1_parameter[0], p[0])) { return BooleanFunction::Or(p[0].clone(), p1_parameter[1].clone(), node.size); } // X | (Y & ~X) => X | Y - if ((~p1_parameter[1] == p[0]) || (p1_parameter[1] == ~p[0])) + if (is_x_not_y(p1_parameter[1], p[0])) { return BooleanFunction::Or(p[0].clone(), p1_parameter[0].clone(), node.size); } @@ -802,13 +865,13 @@ namespace hal } // X | (~X | Y) => 1 - if ((~p1_parameter[0] == p[0]) || (p1_parameter[0] == ~p[0])) + if (is_x_not_y(p1_parameter[0], p[0])) { return OK(One(node.size)); } // X | (Y | ~X) => 1 - if ((~p1_parameter[1] == p[0]) || (p1_parameter[1] == ~p[0])) + if (is_x_not_y(p1_parameter[1], p[0])) { return OK(One(node.size)); } @@ -830,13 +893,13 @@ namespace hal } // (~X | Y) | X => 1 - if ((~p0_parameter[0] == p[1]) || (p0_parameter[0] == ~p[1])) + if (is_x_not_y(p0_parameter[0], p[1])) { return OK(One(node.size)); } // (Y | ~X) | X => 1 - if ((~p0_parameter[1] == p[1]) || (p0_parameter[1] == ~p[1])) + if (is_x_not_y(p0_parameter[1], p[1])) { return OK(One(node.size)); } @@ -858,13 +921,13 @@ namespace hal } // (~X & Y) | X => X | Y - if ((~p0_parameter[0] == p[1]) || (p0_parameter[0] == ~p[1])) + if (is_x_not_y(p0_parameter[0], p[1])) { return BooleanFunction::Or(p0_parameter[1].clone(), p[1].clone(), node.size); } // (X & ~Y) | Y => X | Y - if ((~p0_parameter[1] == p[1]) || (p0_parameter[1] == ~p[1])) + if (is_x_not_y(p0_parameter[1], p[1])) { return BooleanFunction::Or(p0_parameter[0].clone(), p[1].clone(), node.size); } @@ -889,7 +952,7 @@ namespace hal return OK(BooleanFunction::Const(0, node.size)); } // X ^ ~X => 1 - if (~p[0] == p[1]) + if (is_x_not_y(p[0], p[1])) { return OK(One(node.size)); } @@ -1244,7 +1307,7 @@ namespace hal case BooleanFunction::NodeType::Slice: { auto start = p[1].get_index_value().get(); auto end = p[2].get_index_value().get(); - return OK(BooleanFunction::Const(std::vector(values[0].begin() + start, values[0].begin() + end))); + return OK(BooleanFunction::Const(std::vector(values[0].begin() + start, values[0].begin() + end + 1))); } case BooleanFunction::NodeType::Concat: { values[1].insert(values[1].end(), values[0].begin(), values[0].end()); diff --git a/src/netlist/boolean_function/translator.cpp b/src/netlist/boolean_function/translator.cpp index 271943d56c9..fcf95f64ab6 100644 --- a/src/netlist/boolean_function/translator.cpp +++ b/src/netlist/boolean_function/translator.cpp @@ -99,7 +99,7 @@ namespace hal case BooleanFunction::NodeType::Concat: return OK("(concat " + p[0] + " " + p[1] + ")"); case BooleanFunction::NodeType::Slice: - return OK("((_ extract " + p[1] + " " + p[2] + ") " + p[0] + ")"); + return OK("((_ extract " + p[2] + " " + p[1] + ") " + p[0] + ")"); case BooleanFunction::NodeType::Zext: return OK("((_ zero_extend " + std::to_string(node.size - function.get_nodes().at(index - 2).index) + ") " + p[0] + ")"); case BooleanFunction::NodeType::Sext: diff --git a/src/netlist/boolean_function/types.cpp b/src/netlist/boolean_function/types.cpp index d78ecb18ae1..7c0a6739c54 100644 --- a/src/netlist/boolean_function/types.cpp +++ b/src/netlist/boolean_function/types.cpp @@ -3,6 +3,7 @@ #include #include #include +#include namespace hal { @@ -254,8 +255,6 @@ namespace hal return OK(Model(ModelParser::parser_context.model)); } - std::cout << "Model: " << s << std::endl; - exit(0); return ERR("could not parse SMT-Lib model"); } @@ -357,9 +356,7 @@ namespace hal {SMT::SolverType::Unknown, "Unknown"}}; template<> - std::map EnumStrings::data = {{SMT::SolverCall::Library, "Library"}, - {SMT::SolverCall::Binary, "Binary"}}; - + std::map EnumStrings::data = {{SMT::SolverCall::Library, "Library"}, {SMT::SolverCall::Binary, "Binary"}}; template<> std::map EnumStrings::data = {{SMT::SolverResultType::Sat, "sat"}, diff --git a/src/netlist/decorators/netlist_modification_decorator.cpp b/src/netlist/decorators/netlist_modification_decorator.cpp index 102ac6087c7..0e532b5923c 100644 --- a/src/netlist/decorators/netlist_modification_decorator.cpp +++ b/src/netlist/decorators/netlist_modification_decorator.cpp @@ -4,7 +4,6 @@ #include "hal_core/netlist/grouping.h" #include "hal_core/netlist/module.h" #include "hal_core/netlist/net.h" -#include "hal_core/netlist/netlist.h" namespace hal { @@ -345,4 +344,128 @@ namespace hal return OK(master_net); } + + Result> NetlistModificationDecorator::create_gnd_net() + { + // check for already existing gnd nets and return them incase there are any + const auto gnd_nets = m_netlist.get_gnd_nets(); + if (!gnd_nets.empty()) + { + return OK(gnd_nets); + } + + // find GND gate type, create a GND gate and connect a correspondig GND net + if (m_netlist.get_gate_library()->get_gnd_gate_types().empty()) + { + return ERR("cannot create a GND net: failed to find GND gate type in gate library " + m_netlist.get_gate_library()->get_name()); + } + const auto gnd_type = m_netlist.get_gate_library()->get_gnd_gate_types().begin()->second; + + const auto gnd_pins = gnd_type->get_pins([](const auto& pin) { + const auto direction = pin->get_direction(); + const auto type = pin->get_type(); + return (direction == PinDirection::output) && (type == PinType::ground); + }); + + if (gnd_pins.size() < 1) + { + return ERR("cannot create a GND net: found no ground pins for gate type " + gnd_type->get_name()); + } + + if (gnd_pins.size() > 1) + { + return ERR("cannot create a GND net: found multiple ground pins for gate type " + gnd_type->get_name() + ". This is currently not handled."); + } + + auto gnd_gate = m_netlist.create_gate(gnd_type, "GND_TEMP"); + if (gnd_gate == nullptr) + { + return ERR("cannot create a GND net: failed to create GND gate in netlist"); + } + // set gate name to be likely a unique gate name + gnd_gate->set_name("GND_" + std::to_string(gnd_gate->get_id())); + + if (!gnd_gate->mark_gnd_gate()) + { + return ERR("cannot create GND net: failed to mark created GND gate as such"); + } + + auto gnd_net = m_netlist.create_net("GND_TEMP"); + if (gnd_net == nullptr) + { + return ERR("cannot create a GND net: failed to create a new net in netlist"); + } + // set net name to be likely a unique net name + gnd_net->set_name("GND_" + std::to_string(gnd_net->get_id())); + + const auto gnd_ep = gnd_net->add_source(gnd_gate, gnd_pins.front()); + if (gnd_ep == nullptr) + { + return ERR("cannot create a GND net: failed to connect newly created net to created GND gate"); + } + + return OK({gnd_net}); + } + + Result> NetlistModificationDecorator::create_vcc_net() + { + // check for already existing vcc nets and return them incase there are any + const auto vcc_nets = m_netlist.get_vcc_nets(); + if (!vcc_nets.empty()) + { + return OK(vcc_nets); + } + + // find VCC gate type, create a VCC gate and connect a correspondig VCC net + if (m_netlist.get_gate_library()->get_vcc_gate_types().empty()) + { + return ERR("cannot create a VCC net: failed to find VCC gate type in gate library " + m_netlist.get_gate_library()->get_name()); + } + const auto vcc_type = m_netlist.get_gate_library()->get_vcc_gate_types().begin()->second; + + const auto vcc_pins = vcc_type->get_pins([](const auto& pin) { + const auto direction = pin->get_direction(); + const auto type = pin->get_type(); + return (direction == PinDirection::output) && (type == PinType::power); + }); + + if (vcc_pins.size() < 1) + { + return ERR("cannot create a VCC net: found no power pins for gate type " + vcc_type->get_name()); + } + + if (vcc_pins.size() > 1) + { + return ERR("cannot create a VCC net: found multiple power pins for gate type " + vcc_type->get_name() + ". This is currently not handled."); + } + + auto vcc_gate = m_netlist.create_gate(vcc_type, "VCC_TEMP"); + if (vcc_gate == nullptr) + { + return ERR("cannot create a VCC net: failed to create VCC gate in netlist"); + } + // set gate name to be likely a unique gate name + vcc_gate->set_name("VCC_" + std::to_string(vcc_gate->get_id())); + + auto vcc_net = m_netlist.create_net("VCC_TEMP"); + if (vcc_net == nullptr) + { + return ERR("cannot create a VCC net: failed to create a new net in netlist"); + } + // set net name to be likely a unique net name + vcc_net->set_name("VCC_" + std::to_string(vcc_net->get_id())); + + if (!vcc_gate->mark_vcc_gate()) + { + return ERR("cannot create VCC net: failed to mark created VCC gate as such"); + } + + const auto vcc_ep = vcc_net->add_source(vcc_gate, vcc_pins.front()); + if (vcc_ep == nullptr) + { + return ERR("cannot create a VCC net: failed to connect newly created net to created VCC gate"); + } + + return OK({vcc_net}); + } } // namespace hal diff --git a/src/netlist/decorators/netlist_traversal_decorator.cpp b/src/netlist/decorators/netlist_traversal_decorator.cpp new file mode 100644 index 00000000000..fde74c1f99f --- /dev/null +++ b/src/netlist/decorators/netlist_traversal_decorator.cpp @@ -0,0 +1,352 @@ +#include "hal_core/netlist/decorators/netlist_traversal_decorator.h" + +#include "hal_core/netlist/gate.h" +#include "hal_core/netlist/net.h" + +namespace hal +{ + NetlistTraversalDecorator::NetlistTraversalDecorator(const Netlist& netlist) : m_netlist(netlist) + { + } + + Result> NetlistTraversalDecorator::get_next_gates(const Net* net, + bool successors, + const std::function& target_gate_filter, + const std::function& exit_endpoint_filter, + const std::function& entry_endpoint_filter) const + { + if (net == nullptr) + { + return ERR("nullptr given as net"); + } + + if (!m_netlist.is_net_in_netlist(net)) + { + return ERR("net does not belong to netlist"); + } + + std::unordered_set visited; + std::vector stack = {net}; + std::vector previous; + std::set res; + while (!stack.empty()) + { + const Net* current = stack.back(); + + if (!previous.empty() && current == previous.back()) + { + stack.pop_back(); + previous.pop_back(); + continue; + } + + visited.insert(current); + + bool added = false; + for (const auto* entry_ep : successors ? current->get_destinations() : current->get_sources()) + { + if (entry_endpoint_filter != nullptr && !entry_endpoint_filter(entry_ep, previous.size() + 1)) + { + continue; + } + + auto* g = entry_ep->get_gate(); + + if (target_gate_filter(g)) + { + res.insert(g); + } + else + { + for (const auto* exit_ep : successors ? g->get_fan_out_endpoints() : g->get_fan_in_endpoints()) + { + if (exit_endpoint_filter != nullptr && !exit_endpoint_filter(exit_ep, previous.size() + 1)) + { + continue; + } + + const Net* n = exit_ep->get_net(); + if (visited.find(n) == visited.end()) + { + stack.push_back(n); + added = true; + } + } + } + } + + if (added) + { + previous.push_back(current); + } + else + { + stack.pop_back(); + } + } + + return OK(res); + } + + Result> NetlistTraversalDecorator::get_next_gates(const Gate* gate, + bool successors, + const std::function& target_gate_filter, + const std::function& exit_endpoint_filter, + const std::function& entry_endpoint_filter) const + { + if (gate == nullptr) + { + return ERR("nullptr given as gate"); + } + + if (!m_netlist.is_gate_in_netlist(gate)) + { + return ERR("net does not belong to netlist"); + } + + std::set res; + for (const auto* exit_ep : successors ? gate->get_fan_out_endpoints() : gate->get_fan_in_endpoints()) + { + if (exit_endpoint_filter != nullptr && !exit_endpoint_filter(exit_ep, 0)) + { + continue; + } + + const auto next_res = this->get_next_gates(exit_ep->get_net(), successors, target_gate_filter, exit_endpoint_filter, entry_endpoint_filter); + if (next_res.is_error()) + { + return ERR(next_res.get_error()); + } + auto next = next_res.get(); + res.insert(next.begin(), next.end()); + } + return OK(res); + } + + Result> NetlistTraversalDecorator::get_subgraph_gates(const Net* net, + bool successors, + const std::function& target_gate_filter, + const std::function& exit_endpoint_filter, + const std::function& entry_endpoint_filter) const + { + if (net == nullptr) + { + return ERR("nullptr given as net"); + } + + if (!m_netlist.is_net_in_netlist(net)) + { + return ERR("net does not belong to netlist"); + } + + std::unordered_set visited; + std::vector stack = {net}; + std::vector previous; + std::set res; + while (!stack.empty()) + { + const Net* current = stack.back(); + + if (!previous.empty() && current == previous.back()) + { + stack.pop_back(); + previous.pop_back(); + continue; + } + + visited.insert(current); + + bool added = false; + for (const auto* entry_ep : successors ? current->get_destinations() : current->get_sources()) + { + if (entry_endpoint_filter != nullptr && !entry_endpoint_filter(entry_ep, previous.size() + 1)) + { + continue; + } + + auto* g = entry_ep->get_gate(); + + if ((target_gate_filter == nullptr) || target_gate_filter(g)) + { + res.insert(g); + } + + for (const auto* exit_ep : successors ? g->get_fan_out_endpoints() : g->get_fan_in_endpoints()) + { + if (exit_endpoint_filter != nullptr && !exit_endpoint_filter(exit_ep, previous.size() + 1)) + { + continue; + } + + const Net* n = exit_ep->get_net(); + if (visited.find(n) == visited.end()) + { + stack.push_back(n); + added = true; + } + } + } + + if (added) + { + previous.push_back(current); + } + else + { + stack.pop_back(); + } + } + + return OK(res); + } + + Result> NetlistTraversalDecorator::get_subgraph_gates(const Gate* gate, + bool successors, + const std::function& target_gate_filter, + const std::function& exit_endpoint_filter, + const std::function& entry_endpoint_filter) const + { + if (gate == nullptr) + { + return ERR("nullptr given as gate"); + } + + if (!m_netlist.is_gate_in_netlist(gate)) + { + return ERR("net does not belong to netlist"); + } + + std::set res; + for (const auto* exit_ep : successors ? gate->get_fan_out_endpoints() : gate->get_fan_in_endpoints()) + { + if (exit_endpoint_filter != nullptr && !exit_endpoint_filter(exit_ep, 0)) + { + continue; + } + + const auto next_res = this->get_subgraph_gates(exit_ep->get_net(), successors, target_gate_filter, exit_endpoint_filter, entry_endpoint_filter); + if (next_res.is_error()) + { + return ERR(next_res.get_error()); + } + auto next = next_res.get(); + res.insert(next.begin(), next.end()); + } + return OK(res); + } + + Result> NetlistTraversalDecorator::get_subgraph_input_nets(const Net* net, + bool successors, + const std::function& target_net_filter, + const std::function& exit_endpoint_filter, + const std::function& entry_endpoint_filter) const + { + if (net == nullptr) + { + return ERR("nullptr given as net"); + } + + if (!m_netlist.is_net_in_netlist(net)) + { + return ERR("net does not belong to netlist"); + } + + std::set res; + for (const auto* entry_ep : successors ? net->get_destinations() : net->get_sources()) + { + if (entry_endpoint_filter != nullptr && !entry_endpoint_filter(entry_ep, 0)) + { + continue; + } + + const auto next_res = this->get_subgraph_input_nets(entry_ep->get_gate(), successors, target_net_filter, exit_endpoint_filter, entry_endpoint_filter); + if (next_res.is_error()) + { + return ERR(next_res.get_error()); + } + auto next = next_res.get(); + res.insert(next.begin(), next.end()); + } + return OK(res); + } + + Result> NetlistTraversalDecorator::get_subgraph_input_nets(const Gate* gate, + bool successors, + const std::function& target_net_filter, + const std::function& exit_endpoint_filter, + const std::function& entry_endpoint_filter) const + { + if (gate == nullptr) + { + return ERR("nullptr given as gate"); + } + + if (!m_netlist.is_gate_in_netlist(gate)) + { + return ERR("net does not belong to netlist"); + } + + std::unordered_set visited; + std::vector stack = {gate}; + std::vector previous; + std::set res; + while (!stack.empty()) + { + const Gate* current = stack.back(); + + if (!previous.empty() && current == previous.back()) + { + stack.pop_back(); + previous.pop_back(); + continue; + } + + visited.insert(current); + + bool added = false; + for (const auto* exit_ep : successors ? current->get_fan_out_endpoints() : current->get_fan_in_endpoints()) + { + if (exit_endpoint_filter != nullptr && !exit_endpoint_filter(exit_ep, previous.size())) + { + continue; + } + + auto* n = exit_ep->get_net(); + + if (target_net_filter(n)) + { + res.insert(n); + } + else + { + for (const auto* entry_ep : successors ? n->get_destinations() : n->get_sources()) + { + if (entry_endpoint_filter != nullptr && !entry_endpoint_filter(entry_ep, previous.size() + 1)) + { + continue; + } + + const Gate* g = entry_ep->get_gate(); + if (visited.find(g) == visited.end()) + { + stack.push_back(g); + added = true; + } + } + } + } + + if (added) + { + previous.push_back(current); + } + else + { + stack.pop_back(); + } + } + + return OK(res); + } + +} // namespace hal \ No newline at end of file diff --git a/src/netlist/decorators/subgraph_netlist_decorator.cpp b/src/netlist/decorators/subgraph_netlist_decorator.cpp index b353074f0e3..04218f478b2 100644 --- a/src/netlist/decorators/subgraph_netlist_decorator.cpp +++ b/src/netlist/decorators/subgraph_netlist_decorator.cpp @@ -1,5 +1,6 @@ #include "hal_core/netlist/decorators/subgraph_netlist_decorator.h" +#include "hal_core/netlist/decorators/boolean_function_decorator.h" #include "hal_core/netlist/decorators/boolean_function_net_decorator.h" #include "hal_core/netlist/gate.h" #include "hal_core/netlist/module.h" @@ -13,7 +14,7 @@ namespace hal { } - Result> SubgraphNetlistDecorator::copy_subgraph_netlist(const std::vector& subgraph_gates) const + Result> SubgraphNetlistDecorator::copy_subgraph_netlist(const std::vector& subgraph_gates, const bool outside_conncetions_as_global_io) const { std::unique_ptr c_netlist = netlist_factory::create_netlist(m_netlist.get_gate_library()); c_netlist->enable_automatic_net_checks(false); @@ -86,19 +87,30 @@ namespace hal { Net* net = m_netlist.get_net_by_id(c_net->get_id()); - // mark new global inputs - if (c_net->get_num_of_sources() == 0) + if (outside_conncetions_as_global_io) { - if (net->get_num_of_sources() != 0 || net->is_global_input_net()) + // mark all nets as global input that either lost a source or were a global input originally + if ((c_net->get_num_of_sources() < net->get_num_of_sources()) || net->is_global_input_net()) { c_netlist->mark_global_input_net(c_net); } - } - // mark nets that had a destination previously but now dont as global outputs - if (c_net->get_num_of_destinations() == 0) + // mark all nets as global output that either lost a destination or were a global output originally + if ((c_net->get_num_of_destinations() < net->get_num_of_destinations()) || net->is_global_output_net()) + { + c_netlist->mark_global_output_net(c_net); + } + } + else { - if (net->get_num_of_destinations() != 0 || net->is_global_output_net()) + // mark all nets as global input that now have zero sources but had a source in the orginal netlist or were a global input originally + if ((c_net->get_num_of_sources() == 0 && net->get_num_of_sources() != 0) || net->is_global_input_net()) + { + c_netlist->mark_global_input_net(c_net); + } + + // mark all nets as global output that now have zero destinations but had at least one destination in the orginal netlist or were a global output originally + if ((net->get_num_of_destinations() != 0 && c_net->get_num_of_destinations() == 0) || net->is_global_output_net()) { c_netlist->mark_global_output_net(c_net); } @@ -151,10 +163,10 @@ namespace hal return OK(std::move(c_netlist)); } - Result> SubgraphNetlistDecorator::copy_subgraph_netlist(const std::vector& subgraph_gates) const + Result> SubgraphNetlistDecorator::copy_subgraph_netlist(const std::vector& subgraph_gates, const bool outside_conncetions_as_global_io) const { const auto subgraph_gates_const = std::vector(subgraph_gates.begin(), subgraph_gates.end()); - if (auto res = copy_subgraph_netlist(subgraph_gates_const); res.is_ok()) + if (auto res = copy_subgraph_netlist(subgraph_gates_const, outside_conncetions_as_global_io); res.is_ok()) { return res; } @@ -164,9 +176,9 @@ namespace hal } } - Result> SubgraphNetlistDecorator::copy_subgraph_netlist(const Module* subgraph_module) const + Result> SubgraphNetlistDecorator::copy_subgraph_netlist(const Module* subgraph_module, const bool outside_conncetions_as_global_io) const { - if (auto res = copy_subgraph_netlist(subgraph_module->get_gates()); res.is_ok()) + if (auto res = copy_subgraph_netlist(subgraph_module->get_gates(), outside_conncetions_as_global_io); res.is_ok()) { return res; } @@ -386,7 +398,9 @@ namespace hal } else if (subgraph_output->get_num_of_sources() == 0) { - return ERR("could not get subgraph function of net '" + subgraph_output->get_name() + "' with ID " + std::to_string(subgraph_output->get_id()) + ": net has no sources"); + const auto net_dec = BooleanFunctionNetDecorator(*subgraph_output); + return OK(net_dec.get_boolean_variable()); + //return ERR("could not get subgraph function of net '" + subgraph_output->get_name() + "' with ID " + std::to_string(subgraph_output->get_id()) + ": net has no sources"); } const Gate* start_gate = subgraph_output->get_sources()[0]->get_gate(); diff --git a/src/netlist/gate.cpp b/src/netlist/gate.cpp index b9226d46365..c4f80729af2 100644 --- a/src/netlist/gate.cpp +++ b/src/netlist/gate.cpp @@ -284,10 +284,10 @@ namespace hal return res; } - Result Gate::get_resolved_boolean_function(const GatePin* pin) const + Result Gate::get_resolved_boolean_function(const GatePin* pin, const bool stop_at_input_pins) const { const std::function(const GatePin*, std::unordered_set&)> get_resolved_boolean_function_internal = - [this, &get_resolved_boolean_function_internal](const GatePin* output_pin, std::unordered_set& on_stack) -> Result { + [this, &get_resolved_boolean_function_internal, stop_at_input_pins](const GatePin* output_pin, std::unordered_set& on_stack) -> Result { if (output_pin == nullptr) { return ERR("could not get resolved Boolean function of gate '" + this->get_name() + "' with ID " + std::to_string(this->get_id()) + ": given output pin is null."); @@ -316,16 +316,19 @@ namespace hal const PinDirection pin_dir = pin->get_direction(); if (pin_dir == PinDirection::input) { - const Net* const input_net = this->get_fan_in_net(var); - if (input_net == nullptr) + if (!stop_at_input_pins) { - // if no net is connected, the input pin name cannot be replaced - return ERR("could not get resolved Boolean function of gate '" + this->get_name() + "' with ID " + std::to_string(this->get_id()) + ": failed to get fan-in net at pin '" - + pin->get_name() + "'"); - } + const Net* const input_net = this->get_fan_in_net(var); + if (input_net == nullptr) + { + // if no net is connected, the input pin name cannot be replaced + return ERR("could not get resolved Boolean function of gate '" + this->get_name() + "' with ID " + std::to_string(this->get_id()) + ": failed to get fan-in net at pin '" + + pin->get_name() + "'"); + } - const auto net_dec = BooleanFunctionNetDecorator(*input_net); - input_to_bf.insert({var, net_dec.get_boolean_variable()}); + const auto net_dec = BooleanFunctionNetDecorator(*input_net); + input_to_bf.insert({var, net_dec.get_boolean_variable()}); + } } else if ((pin_dir == PinDirection::internal) || (pin_dir == PinDirection::output)) { diff --git a/src/netlist/gate_library/gate_library_manager.cpp b/src/netlist/gate_library/gate_library_manager.cpp index 344b8ebc447..a10bf6b5aa2 100644 --- a/src/netlist/gate_library/gate_library_manager.cpp +++ b/src/netlist/gate_library/gate_library_manager.cpp @@ -59,7 +59,7 @@ namespace hal } GateType* gt = lib->create_gate_type(name, {GateTypeProperty::combinational, GateTypeProperty::power}); - if (auto res = gt->create_pin("O", PinDirection::output, PinType::ground); res.is_error()) + if (auto res = gt->create_pin("O", PinDirection::output, PinType::power); res.is_error()) { return ERR_APPEND(res.get_error(), "could not prepare gate library '" + lib->get_name() + "': failed to create output pin 'O' for gate type 'HAL_VDD'"); } diff --git a/src/netlist/gate_library/gate_library_parser/gate_library_parser_manager.cpp b/src/netlist/gate_library/gate_library_parser/gate_library_parser_manager.cpp index e0733518b5f..c06845415eb 100644 --- a/src/netlist/gate_library/gate_library_parser/gate_library_parser_manager.cpp +++ b/src/netlist/gate_library/gate_library_parser/gate_library_parser_manager.cpp @@ -28,11 +28,11 @@ namespace hal if (auto it = m_extension_to_parser.find(extension); it != m_extension_to_parser.end()) { - log_info("gate_library_parser", "selected gate library parser '{}'.", it->second.first); + log_debug("gate_library_parser", "selected gate library parser '{}'.", it->second.first); return it->second.second; } - log_error("gate_library_parser", "no gate library parser registered for file extension '{}'.", extension); + log_debug("gate_library_parser", "no gate library parser registered for file extension '{}'.", extension); return ParserFactory(); } } // namespace @@ -54,7 +54,7 @@ namespace hal m_extension_to_parser.emplace(ext, std::make_pair(name, parser_factory)); m_parser_to_extensions[name].push_back(ext); - log_info("gate_library_parser", "registered gate library parser '{}' for file extension '{}'.", name, ext); + log_debug("gate_library_parser", "registered gate library parser '{}' for file extension '{}'.", name, ext); } } @@ -67,7 +67,7 @@ namespace hal if (auto rm_it = m_extension_to_parser.find(ext); rm_it != m_extension_to_parser.end()) { m_extension_to_parser.erase(rm_it); - log_info("gate_library_parser", "unregistered gate library parser '{}' for file extension '{}'.", name, ext); + log_debug("gate_library_parser", "unregistered gate library parser '{}' for file extension '{}'.", name, ext); } } m_parser_to_extensions.erase(it); @@ -94,11 +94,11 @@ namespace hal else { std::unique_ptr gate_lib = res.get(); - log_info("gate_library_parser", - "parsed gate library '{}' from file '{}' in {:2.2f} seconds.", - gate_lib->get_name(), - file_path.string(), - (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); + log_debug("gate_library_parser", + "parsed gate library '{}' from file '{}' in {:2.2f} seconds.", + gate_lib->get_name(), + file_path.string(), + (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); return gate_lib; } } diff --git a/src/netlist/gate_library/gate_library_writer/gate_library_writer_manager.cpp b/src/netlist/gate_library/gate_library_writer/gate_library_writer_manager.cpp index c8d84e21975..8d365c7f178 100644 --- a/src/netlist/gate_library/gate_library_writer/gate_library_writer_manager.cpp +++ b/src/netlist/gate_library/gate_library_writer/gate_library_writer_manager.cpp @@ -28,7 +28,7 @@ namespace hal if (auto it = m_extension_to_writer.find(extension); it != m_extension_to_writer.end()) { - log_info("gate_library_writer", "selected gate library writer '{}'.", it->second.first); + log_debug("gate_library_writer", "selected gate library writer '{}'.", it->second.first); return it->second.second; } @@ -54,7 +54,7 @@ namespace hal m_extension_to_writer.emplace(ext, std::make_pair(name, writer_factory)); m_writer_to_extensions[name].push_back(ext); - log_info("gate_library_writer", "registered gate library writer '{}' for file extension '{}'.", name, ext); + log_debug("gate_library_writer", "registered gate library writer '{}' for file extension '{}'.", name, ext); } } @@ -67,7 +67,7 @@ namespace hal if (auto rm_it = m_extension_to_writer.find(ext); rm_it != m_extension_to_writer.end()) { m_extension_to_writer.erase(rm_it); - log_info("gate_library_writer", "unregistered gate library writer '{}' for file extension '{}'.", name, ext); + log_debug("gate_library_writer", "unregistered gate library writer '{}' for file extension '{}'.", name, ext); } } m_writer_to_extensions.erase(it); @@ -84,7 +84,7 @@ namespace hal std::unique_ptr writer = factory(); - log_info("gate_library_writer", "writing gate library '{}' to file '{}'...", gate_lib->get_name(), file_path.string()); + log_debug("gate_library_writer", "writing gate library '{}' to file '{}'...", gate_lib->get_name(), file_path.string()); auto begin_time = std::chrono::high_resolution_clock::now(); if (!writer->write(gate_lib, file_path)) @@ -93,11 +93,11 @@ namespace hal return false; } - log_info("gate_library_writer", - "wrote gate library '{}' to file '{}' in {:2.2f} seconds.", - gate_lib->get_name(), - file_path.string(), - (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); + log_debug("gate_library_writer", + "wrote gate library '{}' to file '{}' in {:2.2f} seconds.", + gate_lib->get_name(), + file_path.string(), + (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); return true; } diff --git a/src/netlist/gate_library/gate_type.cpp b/src/netlist/gate_library/gate_type.cpp index 7c23a250fd2..075bd4f0b62 100644 --- a/src/netlist/gate_library/gate_type.cpp +++ b/src/netlist/gate_library/gate_type.cpp @@ -388,20 +388,24 @@ namespace hal if (ascending) { for (auto it = pins.begin(); it != pins.end(); ++it) + { if (auto res = assign_pin_to_group(pin_group, *it, delete_empty_groups); res.is_error()) { assert(delete_pin_group(pin_group)); return ERR(res.get_error()); } + } } else { for (auto it = pins.rbegin(); it != pins.rend(); ++it) + { if (auto res = assign_pin_to_group(pin_group, *it, delete_empty_groups); res.is_error()) { assert(delete_pin_group(pin_group)); return ERR(res.get_error()); } + } } return OK(pin_group); @@ -455,12 +459,9 @@ namespace hal return false; } - bool removed_pins = false; - std::vector pins_copy = pin_group->get_pins(); for (auto* pin : pins_copy) { - removed_pins = true; if (auto res = create_pin_group(pin->get_name(), {pin}, pin->get_direction(), pin->get_type(), true, 0, false); res.is_error()) { log_warning("gate", "{}", res.get_error().get()); diff --git a/src/netlist/module.cpp b/src/netlist/module.cpp index 612db982c25..af4e3cc17e0 100644 --- a/src/netlist/module.cpp +++ b/src/netlist/module.cpp @@ -1432,6 +1432,11 @@ namespace hal return false; } + if (pin_group->contains_pin(pin)) + { + return true; + } + if (PinGroup* pg = pin->get_group().first; pg != nullptr) { // remove from old group and potentially delete old group if empty @@ -1759,9 +1764,9 @@ namespace hal } // create pin group - std::unique_ptr> pin_group_owner(new PinGroup(id, name, direction, type, ascending, start_index)); - PinGroup* pin_group = pin_group_owner.get(); + std::unique_ptr> pin_group_owner = std::make_unique>(id, name, direction, type, ascending, start_index); m_pin_groups.push_back(std::move(pin_group_owner)); + PinGroup* pin_group = m_pin_groups.back().get(); m_pin_groups_ordered.push_back(pin_group); m_pin_groups_map[id] = pin_group; m_pin_group_names_map[name] = pin_group; diff --git a/src/netlist/net.cpp b/src/netlist/net.cpp index 2a28be2fcb5..c835516e027 100644 --- a/src/netlist/net.cpp +++ b/src/netlist/net.cpp @@ -243,9 +243,22 @@ namespace hal return std::find(m_sources_raw.begin(), m_sources_raw.end(), ep) != m_sources_raw.end(); } - u32 Net::get_num_of_sources() const + u32 Net::get_num_of_sources(const std::function& filter) const { - return (u32)m_sources_raw.size(); + if (!filter) + { + return (u32)m_sources_raw.size(); + } + + u32 num = 0; + for (auto dst : m_sources_raw) + { + if (filter(dst)) + { + num++; + } + } + return num; } std::vector Net::get_sources(const std::function& filter) const @@ -392,9 +405,22 @@ namespace hal return std::find(m_destinations_raw.begin(), m_destinations_raw.end(), ep) != m_destinations_raw.end(); } - u32 Net::get_num_of_destinations() const + u32 Net::get_num_of_destinations(const std::function& filter) const { - return (u32)m_destinations_raw.size(); + if (!filter) + { + return (u32)m_destinations_raw.size(); + } + + u32 num = 0; + for (auto dst : m_destinations_raw) + { + if (filter(dst)) + { + num++; + } + } + return num; } std::vector Net::get_destinations(const std::function& filter) const diff --git a/src/netlist/netlist.cpp b/src/netlist/netlist.cpp index 24a364b8992..cdb19588005 100644 --- a/src/netlist/netlist.cpp +++ b/src/netlist/netlist.cpp @@ -185,7 +185,7 @@ namespace hal return m_manager->delete_gate(gate); } - bool Netlist::is_gate_in_netlist(Gate* gate) const + bool Netlist::is_gate_in_netlist(const Gate* gate) const { return gate != nullptr && m_gates_set.find(gate) != m_gates_set.end(); } @@ -345,7 +345,7 @@ namespace hal return m_manager->delete_net(n); } - bool Netlist::is_net_in_netlist(Net* n) const + bool Netlist::is_net_in_netlist(const Net* n) const { return n != nullptr && m_nets_set.find(n) != m_nets_set.end(); } @@ -531,6 +531,40 @@ namespace hal m_manager->m_net_checks_enabled = enable_checks; } + std::vector Netlist::get_gnd_nets() const + { + std::vector gnd_nets; + for (const auto& gnd_gate : m_gnd_gates) + { + for (const auto& o_net : gnd_gate->get_fan_out_nets()) + { + if (o_net->is_gnd_net()) + { + gnd_nets.push_back(o_net); + } + } + } + + return gnd_nets; + } + + std::vector Netlist::get_vcc_nets() const + { + std::vector vcc_nets; + for (const auto& vcc_gate : m_vcc_gates) + { + for (const auto& o_net : vcc_gate->get_fan_out_nets()) + { + if (o_net->is_vcc_net()) + { + vcc_nets.push_back(o_net); + } + } + } + + return vcc_nets; + } + /* * ################################################################ * module functions @@ -610,7 +644,7 @@ namespace hal return res; } - bool Netlist::is_module_in_netlist(Module* module) const + bool Netlist::is_module_in_netlist(const Module* module) const { return (module != nullptr) && (m_modules_set.find(module) != m_modules_set.end()); } @@ -649,7 +683,7 @@ namespace hal return m_manager->delete_grouping(g); } - bool Netlist::is_grouping_in_netlist(Grouping* n) const + bool Netlist::is_grouping_in_netlist(const Grouping* n) const { return n != nullptr && m_groupings_set.find(n) != m_groupings_set.end(); } @@ -667,7 +701,7 @@ namespace hal const std::vector& Netlist::get_groupings() const { - return m_groupings; + return m_groupings; } std::vector Netlist::get_groupings(const std::function& filter) const @@ -867,10 +901,9 @@ namespace hal if (failed > 0) { - log_warning("netlist", "failed to load locations of {} gates.", failed); + log_debug("netlist", "failed to load locations of {} gates.", failed); } return true; } - } // namespace hal diff --git a/src/netlist/netlist_factory.cpp b/src/netlist/netlist_factory.cpp index a929d0fa6b7..0ad9b211257 100644 --- a/src/netlist/netlist_factory.cpp +++ b/src/netlist/netlist_factory.cpp @@ -4,9 +4,9 @@ #include "hal_core/netlist/netlist.h" #include "hal_core/netlist/netlist_parser/netlist_parser_manager.h" #include "hal_core/netlist/persistent/netlist_serializer.h" +#include "hal_core/netlist/project_manager.h" #include "hal_core/utilities/log.h" #include "hal_core/utilities/program_arguments.h" -#include "hal_core/netlist/project_manager.h" #include "hal_core/utilities/project_directory.h" #include @@ -62,6 +62,30 @@ namespace hal } } + std::unique_ptr load_netlist(const std::filesystem::path& netlist_file, GateLibrary* gate_library) + { + if (access(netlist_file.c_str(), F_OK | R_OK) == -1) + { + log_critical("netlist", "could not access file '{}'.", netlist_file.string()); + return nullptr; + } + + if (!gate_library) + { + log_critical("netlist", "gate library is null '{}', will not read netlist."); + return nullptr; + } + + if (netlist_file.extension() == ".hal") + { + return netlist_serializer::deserialize_from_file(netlist_file, gate_library); + } + else + { + return netlist_parser_manager::parse(netlist_file, gate_library); + } + } + std::unique_ptr load_hal_project(const std::filesystem::path& project_dir) { if (!std::filesystem::is_directory(project_dir)) @@ -83,9 +107,7 @@ namespace hal std::unique_ptr load_netlist(const ProjectDirectory& pdir, const ProgramArguments& args) { - std::filesystem::path netlist_file = args.is_option_set("--import-netlist") - ? std::filesystem::path(args.get_parameter("--import-netlist")) - : pdir.get_default_filename(); + std::filesystem::path netlist_file = args.is_option_set("--import-netlist") ? std::filesystem::path(args.get_parameter("--import-netlist")) : pdir.get_default_filename(); if (access(netlist_file.c_str(), F_OK | R_OK) == -1) { diff --git a/src/netlist/netlist_parser/netlist_parser_manager.cpp b/src/netlist/netlist_parser/netlist_parser_manager.cpp index 4d9c499d293..74de0d8d3db 100644 --- a/src/netlist/netlist_parser/netlist_parser_manager.cpp +++ b/src/netlist/netlist_parser/netlist_parser_manager.cpp @@ -28,7 +28,7 @@ namespace hal if (auto it = m_extension_to_parser.find(extension); it != m_extension_to_parser.end()) { - log_info("netlist_parser", "selected parser: {}", it->second.first); + log_debug("netlist_parser", "selected parser: {}", it->second.first); return it->second.second; } @@ -41,7 +41,7 @@ namespace hal { auto begin_time = std::chrono::high_resolution_clock::now(); - log_info("netlist_parser", "parsing '{}'...", file_name.string()); + log_debug("netlist_parser", "parsing '{}'...", file_name.string()); if (auto res = parser->parse(file_name); res.is_error()) { @@ -49,9 +49,9 @@ namespace hal return {}; } - log_info("netlist_parser", - "finished parsing in {:2.2f} seconds.", - (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); + log_debug("netlist_parser", + "finished parsing in {:2.2f} seconds.", + (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); std::vector> netlists; @@ -59,11 +59,11 @@ namespace hal { begin_time = std::chrono::high_resolution_clock::now(); - log_info("netlist_parser", "instantiating '{}' with gate library '{}'...", file_name.string(), gate_library->get_name()); + log_debug("netlist_parser", "instantiating '{}' with gate library '{}'...", file_name.string(), gate_library->get_name()); if (auto res = parser->instantiate(gate_library); res.is_error()) { - log_info("netlist_parser", "failed to instantiate '{}' with gate library '{}':\n{}", file_name.string(), gate_library->get_name(), res.get_error().get()); + log_debug("netlist_parser", "failed to instantiate '{}' with gate library '{}':\n{}", file_name.string(), gate_library->get_name(), res.get_error().get()); return {}; } else @@ -71,10 +71,10 @@ namespace hal auto netlist = res.get(); netlist->set_input_filename(file_name.string()); - log_info("netlist_parser", - "instantiated '{}' in {:2.2f} seconds.", - file_name.string(), - (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); + log_debug("netlist_parser", + "instantiated '{}' in {:2.2f} seconds.", + file_name.string(), + (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); netlists.push_back(std::move(netlist)); } @@ -88,11 +88,11 @@ namespace hal { begin_time = std::chrono::high_resolution_clock::now(); - log_info("netlist_parser", "instantiating '{}' with gate library '{}'...", file_name.string(), lib_it->get_name()); + log_debug("netlist_parser", "instantiating '{}' with gate library '{}'...", file_name.string(), lib_it->get_name()); if (auto res = parser->instantiate(lib_it); res.is_error()) { - log_info("netlist_parser", "failed to instantiate '{}' with gate library '{}':\n{}", file_name.string(), lib_it->get_name(), res.get_error().get()); + log_debug("netlist_parser", "failed to instantiate '{}' with gate library '{}':\n{}", file_name.string(), lib_it->get_name(), res.get_error().get()); // log_debug("netlist_parser", "error encountered during netlist instantiation:\n{}", res.get_error().get()); continue; } @@ -102,10 +102,10 @@ namespace hal netlist->set_input_filename(file_name.string()); - log_info("netlist_parser", - "instantiated '{}' in {:2.2f} seconds.", - file_name.string(), - (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); + log_debug("netlist_parser", + "instantiated '{}' in {:2.2f} seconds.", + file_name.string(), + (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); netlists.push_back(std::move(netlist)); @@ -162,7 +162,7 @@ namespace hal m_extension_to_parser.emplace(ext, std::make_pair(name, parser_factory)); m_parser_to_extensions[name].push_back(ext); - log_info("netlist_parser", "registered netlist parser '{}' for file type '{}'", name, ext); + log_debug("netlist_parser", "registered netlist parser '{}' for file type '{}'", name, ext); } } @@ -175,7 +175,7 @@ namespace hal if (auto rm_it = m_extension_to_parser.find(ext); rm_it != m_extension_to_parser.end()) { m_extension_to_parser.erase(rm_it); - log_info("netlist_parser", "unregistered netlist parser '{}' which was registered for file type '{}'", name, ext); + log_debug("netlist_parser", "unregistered netlist parser '{}' which was registered for file type '{}'", name, ext); } } m_parser_to_extensions.erase(it); diff --git a/src/netlist/netlist_utils.cpp b/src/netlist/netlist_utils.cpp index 556d7d012d8..072cf5b26eb 100644 --- a/src/netlist/netlist_utils.cpp +++ b/src/netlist/netlist_utils.cpp @@ -152,7 +152,7 @@ namespace hal std::unique_ptr get_partial_netlist(const Netlist* nl, const std::vector& subgraph_gates) { - if (auto res = SubgraphNetlistDecorator(*nl).copy_subgraph_netlist(subgraph_gates); res.is_ok()) + if (auto res = SubgraphNetlistDecorator(*nl).copy_subgraph_netlist(subgraph_gates, false); res.is_ok()) { return res.get(); } @@ -279,7 +279,11 @@ namespace hal namespace { - std::vector get_next_sequential_gates_internal(const Net* start_net, bool forward, std::unordered_set& seen, std::unordered_map>& cache) + std::vector get_next_sequential_gates_internal(const Net* start_net, + bool forward, + std::unordered_set& seen, + const std::vector& allowed_pin_types, + std::unordered_map>& cache) { if (auto it = cache.find(start_net->get_id()); it != cache.end()) { @@ -301,13 +305,16 @@ namespace hal if (next_gate->get_type()->has_property(GateTypeProperty::ff)) { - found_ffs.push_back(next_gate); + if (std::find(allowed_pin_types.begin(), allowed_pin_types.end(), endpoint->get_pin()->get_type()) != allowed_pin_types.end() || allowed_pin_types.empty()) + { + found_ffs.push_back(next_gate); + } } else { for (auto n : forward ? next_gate->get_fan_out_nets() : next_gate->get_fan_in_nets()) { - auto next_gates = get_next_sequential_gates_internal(n, forward, seen, cache); + auto next_gates = get_next_sequential_gates_internal(n, forward, seen, allowed_pin_types, cache); found_ffs.insert(found_ffs.end(), next_gates.begin(), next_gates.end()); } } @@ -321,9 +328,31 @@ namespace hal } } // namespace + std::vector get_next_sequential_gates(const Gate* gate, bool get_successors, const std::vector& allowed_pin_types, std::unordered_map>& cache) + { + std::vector found_ffs; + + for (const auto& endpoint : get_successors ? gate->get_fan_out_endpoints() : gate->get_fan_in_endpoints()) + { + if (std::find(allowed_pin_types.begin(), allowed_pin_types.end(), endpoint->get_pin()->get_type()) != allowed_pin_types.end() || allowed_pin_types.empty()) + { + auto n = endpoint->get_net(); + auto suc = get_next_sequential_gates(n, get_successors, allowed_pin_types, cache); + found_ffs.insert(found_ffs.end(), suc.begin(), suc.end()); + } + } + + std::sort(found_ffs.begin(), found_ffs.end()); + found_ffs.erase(std::unique(found_ffs.begin(), found_ffs.end()), found_ffs.end()); + + return found_ffs; + } + std::vector get_next_sequential_gates(const Gate* gate, bool get_successors, std::unordered_map>& cache) { std::vector found_ffs; + std::vector allowed_pin_types; + for (const auto& n : get_successors ? gate->get_fan_out_nets() : gate->get_fan_in_nets()) { auto suc = get_next_sequential_gates(n, get_successors, cache); @@ -336,22 +365,42 @@ namespace hal return found_ffs; } - std::vector get_next_sequential_gates(const Net* net, bool get_successors, std::unordered_map>& cache) + std::vector get_next_sequential_gates(const Net* net, bool get_successors, const std::vector& allowed_pin_types, std::unordered_map>& cache) { std::unordered_set seen; - return get_next_sequential_gates_internal(net, get_successors, seen, cache); + return get_next_sequential_gates_internal(net, get_successors, seen, allowed_pin_types, cache); } std::vector get_next_sequential_gates(const Gate* gate, bool get_successors) { std::unordered_map> cache; - return get_next_sequential_gates(gate, get_successors, cache); + std::vector allowed_pin_types; + return get_next_sequential_gates(gate, get_successors, allowed_pin_types, cache); } std::vector get_next_sequential_gates(const Net* net, bool get_successors) { std::unordered_map> cache; - return get_next_sequential_gates(net, get_successors, cache); + std::vector allowed_pin_types; + return get_next_sequential_gates(net, get_successors, allowed_pin_types, cache); + } + + std::vector get_next_sequential_gates(const Net* net, bool get_successors, const std::vector& allowed_pin_types) + { + std::unordered_map> cache; + return get_next_sequential_gates(net, get_successors, allowed_pin_types, cache); + } + + std::vector get_next_sequential_gates(const Gate* gate, bool get_successors, const std::vector& allowed_pin_types) + { + std::unordered_map> cache; + return get_next_sequential_gates(gate, get_successors, allowed_pin_types, cache); + } + + std::vector get_next_sequential_gates(const Net* net, bool get_successors, std::unordered_map>& cache) + { + std::vector allowed_pin_types; + return get_next_sequential_gates(net, get_successors, allowed_pin_types, cache); } namespace @@ -483,6 +532,7 @@ namespace hal { u32 num_gates = 0; + std::vector gates_to_delete; for (const auto& gate : netlist->get_gates()) { std::vector fan_out = gate->get_fan_out_endpoints(); @@ -592,8 +642,7 @@ namespace hal // delete output net and buffer gate netlist->delete_net(out_net); - netlist->delete_gate(gate); - num_gates++; + gates_to_delete.push_back(gate); } else if (func_str == "0" || func_str == "1") { @@ -660,11 +709,16 @@ namespace hal // delete output net and buffer gate netlist->delete_net(out_net); - netlist->delete_gate(gate); - num_gates++; + gates_to_delete.push_back(gate); } } + for (auto* gate : gates_to_delete) + { + netlist->delete_gate(gate); + num_gates++; + } + return OK(num_gates); } @@ -777,6 +831,96 @@ namespace hal } } + Result>> find_carry_chains(const Netlist* nl, const std::string input_pin, const std::string output_pin) + { + if (input_pin.empty() || output_pin.empty()) + { + return ERR("input or output pin is empty"); + } + + std::vector> carry_chains; + + // retrieve all carry gates + std::vector carry_gates = nl->get_gates([](const Gate* g) { return g->get_type()->has_property(GateTypeProperty::c_carry); }); + std::set carry_gates_set = std::set(carry_gates.begin(), carry_gates.end()); + if (carry_gates_set.empty()) + { + return ERR("no carry gates in netlists"); + } + + // collect carry chains until all carry gates have been analyzed + while (!carry_gates_set.empty()) + { + Gate* current_gate = *carry_gates_set.begin(); + const GateType* carry_type = current_gate->get_type(); + + auto input_pin_type = carry_type->get_pin_by_name(input_pin); + if (input_pin_type == nullptr) + { + return ERR("could not get input pin type, is nullptr"); + } + + auto output_pin_type = carry_type->get_pin_by_name(output_pin); + if (output_pin_type == nullptr) + { + return ERR("could not get output pin type, is nullptr"); + } + + // get carry chains by defining appropriate filter function + auto chain_res = netlist_utils::get_gate_chain(current_gate, {input_pin_type}, {output_pin_type}); + if (chain_res.is_error()) + { + return ERR(chain_res.get_error()); + } + std::vector carry_chain = chain_res.get(); + + // remove shift register gates from candidate set + for (Gate* g : carry_chain) + { + carry_gates_set.erase(g); + } + + // only consider carry chains with more than 2 gates for now + if (carry_chain.size() >= 2) + { + log_debug("netlist_utils", "\tcarry_gate: {}", carry_chain.front()->get_name()); + carry_chains.push_back(carry_chain); + } + } + + // check whether a carry chain is a subset of another one + std::vector> filtered_carry_chains; + for (u32 i = 0; i < carry_chains.size(); i++) + { + auto& c_test = carry_chains.at(i); + std::set test_set = {c_test.begin(), c_test.end()}; + + bool is_subset = false; + for (u32 j = 0; j < carry_chains.size(); j++) + { + if (i == j) + { + continue; + } + + auto& c_other = carry_chains.at(j); + std::set other_set = {c_other.begin(), c_other.end()}; + + if (std::includes(other_set.begin(), other_set.end(), test_set.begin(), test_set.end())) + { + is_subset = true; + break; + } + } + + if (!is_subset) + { + filtered_carry_chains.push_back(c_test); + } + } + return OK(filtered_carry_chains); + } + Result> get_gate_chain(Gate* start_gate, const std::vector& input_pins, const std::vector& output_pins, const std::function& filter) { diff --git a/src/netlist/netlist_writer/netlist_writer_manager.cpp b/src/netlist/netlist_writer/netlist_writer_manager.cpp index af361a7743f..18943196bd0 100644 --- a/src/netlist/netlist_writer/netlist_writer_manager.cpp +++ b/src/netlist/netlist_writer/netlist_writer_manager.cpp @@ -29,7 +29,7 @@ namespace hal if (auto it = m_extension_to_writer.find(extension); it != m_extension_to_writer.end()) { - log_info("netlist_writer", "selected writer: {}", it->second.first); + log_debug("netlist_writer", "selected writer: {}", it->second.first); return it->second.second; } @@ -67,7 +67,7 @@ namespace hal m_extension_to_writer.emplace(ext, std::make_pair(name, writer_factory)); m_writer_to_extensions[name].push_back(ext); - log_info("netlist_writer", "registered netlist writer '{}' for file extension '{}'.", name, ext); + log_debug("netlist_writer", "registered netlist writer '{}' for file extension '{}'.", name, ext); } } @@ -80,7 +80,7 @@ namespace hal if (auto rm_it = m_extension_to_writer.find(ext); rm_it != m_extension_to_writer.end()) { m_extension_to_writer.erase(rm_it); - log_info("netlist_writer", "unregistered netlist writer '{}' for file extension '{}'.", name, ext); + log_debug("netlist_writer", "unregistered netlist writer '{}' for file extension '{}'.", name, ext); } } m_writer_to_extensions.erase(it); @@ -108,7 +108,7 @@ namespace hal std::unique_ptr writer = factory(); - log_info("netlist_writer", "writing netlist '{}' to file '{}'...", netlist->get_design_name(), file_path.string()); + log_debug("netlist_writer", "writing netlist '{}' to file '{}'...", netlist->get_design_name(), file_path.string()); auto begin_time = std::chrono::high_resolution_clock::now(); if (auto res = writer->write(netlist, file_path); res.is_error()) @@ -117,11 +117,11 @@ namespace hal return false; } - log_info("netlist_writer", - "wrote netlist '{}' to file '{}' in {:2.2f} seconds.", - netlist->get_design_name(), - file_path.string(), - (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); + log_debug("netlist_writer", + "wrote netlist '{}' to file '{}' in {:2.2f} seconds.", + netlist->get_design_name(), + file_path.string(), + (double)std::chrono::duration_cast(std::chrono::high_resolution_clock::now() - begin_time).count() / 1000); return true; } diff --git a/src/plugin_system/plugin_manager.cpp b/src/plugin_system/plugin_manager.cpp index caafb05be6a..6cf7ba42c66 100644 --- a/src/plugin_system/plugin_manager.cpp +++ b/src/plugin_system/plugin_manager.cpp @@ -199,7 +199,7 @@ namespace hal } } else - log_info("core", "loading plugin '{}'...", file_path.string()); + log_debug("core", "loading plugin '{}'...", file_path.string()); if (m_loaded_plugins.find(plugin_name) != m_loaded_plugins.end()) { @@ -352,8 +352,7 @@ namespace hal return true; } - log_info("core", "unloading plugin '{}'...", plugin_name); - + log_debug("core", "unloading plugin '{}'...", plugin_name); auto rt_library = std::move(std::get<1>(loaded_it->second)); auto plugin_inst = std::move(std::get<0>(loaded_it->second)); diff --git a/src/python_bindings/bindings/boolean_function_decorator.cpp b/src/python_bindings/bindings/boolean_function_decorator.cpp index 904211eff02..d81a7b53e99 100644 --- a/src/python_bindings/bindings/boolean_function_decorator.cpp +++ b/src/python_bindings/bindings/boolean_function_decorator.cpp @@ -4,7 +4,8 @@ namespace hal { void boolean_function_decorator_init(py::module& m) { - py::class_ py_boolean_function_decorator(m, "BooleanFunctionDecorator", R"()"); + py::class_ py_boolean_function_decorator( + m, "BooleanFunctionDecorator", R"(A Boolean function decorator that provides functionality to operate on the associated Boolean function.)"); py_boolean_function_decorator.def(py::init(), py::arg("bf"), R"( Construct new BooleanFunctionDecorator object. diff --git a/src/python_bindings/bindings/boolean_function_net_decorator.cpp b/src/python_bindings/bindings/boolean_function_net_decorator.cpp index cf853ef3b45..b2db39dd4cc 100644 --- a/src/python_bindings/bindings/boolean_function_net_decorator.cpp +++ b/src/python_bindings/bindings/boolean_function_net_decorator.cpp @@ -4,7 +4,8 @@ namespace hal { void boolean_function_net_decorator_init(py::module& m) { - py::class_ py_boolean_function_net_decorator(m, "BooleanFunctionNetDecorator", R"()"); + py::class_ py_boolean_function_net_decorator( + m, "BooleanFunctionNetDecorator", R"(A net decorator that provides functionality to translate between nets and Boolean function variables.)"); py_boolean_function_net_decorator.def(py::init(), py::arg("net"), R"( Construct new BooleanFunctionNetDecorator object. diff --git a/src/python_bindings/bindings/gate.cpp b/src/python_bindings/bindings/gate.cpp index da4d3fa3293..50e893d8f8c 100644 --- a/src/python_bindings/bindings/gate.cpp +++ b/src/python_bindings/bindings/gate.cpp @@ -220,8 +220,8 @@ namespace hal py_gate.def( "get_resolved_boolean_function", - [](const Gate& self, const GatePin* pin) -> std::optional { - auto res = self.get_resolved_boolean_function(pin); + [](const Gate& self, const GatePin* pin, const bool stop_at_input_pins = false) -> std::optional { + auto res = self.get_resolved_boolean_function(pin, stop_at_input_pins); if (res.is_ok()) { return res.get(); @@ -232,7 +232,8 @@ namespace hal return std::nullopt; } }, - py::arg("pin") = nullptr, + py::arg("pin") = nullptr, + py::arg("stop_at_input_pins") = false, R"( Get the resolved Boolean function corresponding to the given output pin, i.e., a Boolean function that is only dependent on input nets and no internal or output pins. diff --git a/src/python_bindings/bindings/gate_library.cpp b/src/python_bindings/bindings/gate_library.cpp index a51437d02ce..abb58bee6fd 100644 --- a/src/python_bindings/bindings/gate_library.cpp +++ b/src/python_bindings/bindings/gate_library.cpp @@ -68,14 +68,19 @@ namespace hal :rtype: tuple(str,str) )"); - // py_gate_library.def("create_gate_type", &GateLibrary::create_gate_type, py::arg("name"), py::arg("properties") = std::set(), R"( - // Create a new gate type, add it to the gate library, and return it. - - // :param str name: The name of the gate type. - // :param set[hal_py.GateTypeProperty] properties: The properties of the gate type. - // :returns: The new gate type instance on success, None otherwise. - // :rtype: hal_py.GateType - // )"); + py_gate_library.def( + "create_gate_type", + [](GateLibrary& self, const std::string& name, std::set properties) -> GateType* { return self.create_gate_type(name, properties); }, + py::arg("name"), + py::arg("properties") = std::set(), + R"( + Create a new gate type, add it to the gate library, and return it. + + :param str name: The name of the gate type. + :param set[hal_py.GateTypeProperty] properties: The properties of the gate type. + :returns: The new gate type instance on success, None otherwise. + :rtype: hal_py.GateType + )"); py_gate_library.def("contains_gate_type", &GateLibrary::contains_gate_type, py::arg("gate_type"), R"( Check whether the given gate type is contained in this library. diff --git a/src/python_bindings/bindings/net.cpp b/src/python_bindings/bindings/net.cpp index fac346d9008..d2675a1cf16 100644 --- a/src/python_bindings/bindings/net.cpp +++ b/src/python_bindings/bindings/net.cpp @@ -166,15 +166,18 @@ namespace hal :rtype: bool )"); - py_net.def_property_readonly("num_of_sources", &Net::get_num_of_sources, R"( + py_net.def_property_readonly( + "num_of_sources", [](Net* n) { return n->get_num_of_sources(); }, R"( The number of sources of the net. :type: int )"); - py_net.def("get_num_of_sources", &Net::get_num_of_sources, R"( + py_net.def("get_num_of_sources", &Net::get_num_of_sources, py::arg("filter") = nullptr, R"( Get the number of sources of the net. + The optional filter is evaluated on every candidate such that the result only contains those matching the specified condition. + :param lambda filter: An optional filter. :returns: The number of sources. :rtype: int )"); @@ -279,15 +282,18 @@ namespace hal :rtype: bool )"); - py_net.def_property_readonly("num_of_destinations", &Net::get_num_of_destinations, R"( + py_net.def_property_readonly( + "num_of_destinations", [](Net* n) { return n->get_num_of_destinations(); }, R"( The number of destinations of the net. :type: int )"); - py_net.def("get_num_of_destinations", &Net::get_num_of_destinations, R"( + py_net.def("get_num_of_destinations", &Net::get_num_of_destinations, py::arg("filter") = nullptr, R"( Get the number of destinations of the net. + The optional filter is evaluated on every candidate such that the result only contains those matching the specified condition. + :param filter: An optional filter. :returns: The number of destinations. :rtype: int )"); diff --git a/src/python_bindings/bindings/netlist_modification_decorator.cpp b/src/python_bindings/bindings/netlist_modification_decorator.cpp index 4b311e4b30a..1b4a00abea5 100644 --- a/src/python_bindings/bindings/netlist_modification_decorator.cpp +++ b/src/python_bindings/bindings/netlist_modification_decorator.cpp @@ -4,7 +4,8 @@ namespace hal { void netlist_modification_decorator_init(py::module& m) { - py::class_ py_netlist_modification_decorator(m, "NetlistModificationDecorator", R"()"); + py::class_ py_netlist_modification_decorator( + m, "NetlistModificationDecorator", R"(A netlist decorator that provides functionality to modify the associated netlist.)"); py_netlist_modification_decorator.def(py::init(), py::arg("netlist"), R"( Construct new NetlistModificationDecorator object. diff --git a/src/python_bindings/bindings/netlist_traversal_decorator.cpp b/src/python_bindings/bindings/netlist_traversal_decorator.cpp new file mode 100644 index 00000000000..d246809cd95 --- /dev/null +++ b/src/python_bindings/bindings/netlist_traversal_decorator.cpp @@ -0,0 +1,146 @@ +#include "hal_core/python_bindings/python_bindings.h" + +namespace hal +{ + void netlist_traversal_decorator_init(py::module& m) + { + py::class_ py_netlist_traversal_decorator( + m, "NetlistTraversalDecorator", R"(A netlist decorator that provides functionality to traverse the associated netlist without making any modifications.)"); + + py_netlist_traversal_decorator.def(py::init(), py::arg("netlist"), R"( + Construct new NetlistTraversalDecorator object. + + :param hal_py.Netlist netlist: The netlist to operate on. + )"); + + py_netlist_traversal_decorator.def( + "get_next_gates", + [](NetlistTraversalDecorator& self, + const Net* net, + bool successors, + const std::function& target_gate_filter, + const std::function& exit_endpoint_filter = nullptr, + const std::function& entry_endpoint_filter = nullptr) -> std::optional> { + auto res = self.get_next_gates(net, successors, target_gate_filter, exit_endpoint_filter, entry_endpoint_filter); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "error encountered while getting next gates:\n{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("net"), + py::arg("successors"), + py::arg("target_gate_filter"), + py::arg("exit_endpoint_filter") = nullptr, + py::arg("entry_endpoint_filter") = nullptr, + R"( + Starting from the given net, traverse the netlist and return only the successor/predecessor gates for which the ``target_gate_filter`` evaluates to ``True``. + Stop traversal if (1) the ``target_gate_filter`` evaluates to ``True``, (2) the ``exit_endpoint_filter`` evaluates to ``False`` on a fan-in/out endpoint (i.e., when exiting the current gate during traversal), or (3) the ``entry_endpoint_filter`` evaluates to ``False`` on a successor/predecessor endpoint (i.e., when entering the next gate during traversal). + Both the ``entry_endpoint_filter`` and the ``exit_endpoint_filter`` may be omitted. + + :param hal_py.Net net: Start net. + :param bool successors: Set ``True`` to get successors, set ``False`` to get predecessors. + :param lambda target_gate_filter: Filter condition that must be met for the target gates. + :param lambda exit_endpoint_filter: Filter condition that determines whether to stop traversal on a fan-in/out endpoint. + :param lambda entry_endpoint_filter: Filter condition that determines whether to stop traversal on a successor/predecessor endpoint. + :returns: The next gates fulfilling the target gate filter condition on success, ``None`` otherwise. + :rtype: set[hal_py.Gate] or None + )"); + + py_netlist_traversal_decorator.def( + "get_next_gates", + [](NetlistTraversalDecorator& self, + const Gate* gate, + bool successors, + const std::function& target_gate_filter, + const std::function& exit_endpoint_filter = nullptr, + const std::function& entry_endpoint_filter = nullptr) -> std::optional> { + auto res = self.get_next_gates(gate, successors, target_gate_filter, exit_endpoint_filter, entry_endpoint_filter); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "error encountered while getting next gates:\n{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("gate"), + py::arg("successors"), + py::arg("target_gate_filter"), + py::arg("exit_endpoint_filter") = nullptr, + py::arg("entry_endpoint_filter") = nullptr, + R"( + Starting from the given gate, traverse the netlist and return only the successor/predecessor gates for which the ``target_gate_filter`` evaluates to ``True``. + Stop traversal if (1) the ``target_gate_filter`` evaluates to ``True``, (2) the ``exit_endpoint_filter`` evaluates to ``False`` on a fan-in/out endpoint (i.e., when exiting the current gate during traversal), or (3) the ``entry_endpoint_filter`` evaluates to ``False`` on a successor/predecessor endpoint (i.e., when entering the next gate during traversal). + Both the ``entry_endpoint_filter`` and the ``exit_endpoint_filter`` may be omitted. + + :param hal_py.Gate gate: Start gate. + :param bool successors: Set ``True`` to get successors, set ``False`` to get predecessors. + :param lambda target_gate_filter: Filter condition that must be met for the target gates. + :param lambda exit_endpoint_filter: Filter condition that determines whether to stop traversal on a fan-in/out endpoint. + :param lambda entry_endpoint_filter: Filter condition that determines whether to stop traversal on a successor/predecessor endpoint. + :returns: The next gates fulfilling the target gate filter condition on success, ``None`` otherwise. + :rtype: set[hal_py.Gate] or None + )"); + + py_netlist_traversal_decorator.def( + "get_subgraph_input_nets", + [](NetlistTraversalDecorator& self, + const Net* net, + bool successors, + const std::function& target_net_filter, + const std::function& exit_endpoint_filter = nullptr, + const std::function& entry_endpoint_filter = nullptr) -> std::optional> { + auto res = self.get_subgraph_input_nets(net, successors, target_net_filter, exit_endpoint_filter, entry_endpoint_filter); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "error encountered while getting subgraph inputs:\n{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("net"), + py::arg("successors"), + py::arg("target_net_filter"), + py::arg("exit_endpoint_filter") = nullptr, + py::arg("entry_endpoint_filter") = nullptr, + R"(TODO + )"); + + py_netlist_traversal_decorator.def( + "get_subgraph_input_nets", + [](NetlistTraversalDecorator& self, + const Gate* gate, + bool successors, + const std::function& target_net_filter, + const std::function& exit_endpoint_filter = nullptr, + const std::function& entry_endpoint_filter = nullptr) -> std::optional> { + auto res = self.get_subgraph_input_nets(gate, successors, target_net_filter, exit_endpoint_filter, entry_endpoint_filter); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "error encountered while getting subgraph input nets:\n{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("gate"), + py::arg("successors"), + py::arg("target_net_filter"), + py::arg("exit_endpoint_filter") = nullptr, + py::arg("entry_endpoint_filter") = nullptr, + R"(TODO + )"); + } +} // namespace hal \ No newline at end of file diff --git a/src/python_bindings/bindings/netlist_utils.cpp b/src/python_bindings/bindings/netlist_utils.cpp index 21a0a5d1bcc..b9a75ce339c 100644 --- a/src/python_bindings/bindings/netlist_utils.cpp +++ b/src/python_bindings/bindings/netlist_utils.cpp @@ -94,6 +94,28 @@ namespace hal :rtype: list[hal_py.Gate] )"); + py_netlist_utils.def("get_next_sequential_gates", + py::overload_cast&, std::unordered_map>&>(&netlist_utils::get_next_sequential_gates), + py::arg("gate"), + py::arg("get_successors"), + py::arg("allowed_pin_types"), + py::arg("cache"), + R"( + Find all sequential predecessors or successors of a gate. + Traverses combinational logic of all input or output nets until sequential gates are found. + The result may include the provided gate itself. + The use of the this cached version is recommended in case of extensive usage to improve performance. + The cache will be filled by this function and should initially be provided empty. + Different caches for different values of get_successors shall be used. + + :param hal_py.Gate gate: The initial gate. + :param bool get_successors: If true, sequential successors are returned, otherwise sequential predecessors are returned. + :param list[hal_py.PinType] allowed_pin_types: Only returns gates which are reached through one of the pins whose types are in this vector. + :param dict[int, list[hal_py.Gate]] cache: The cache. + :returns: All sequential successors or predecessors of the gate. + :rtype: list[hal_py.Gate] + )"); + py_netlist_utils.def("get_next_sequential_gates", py::overload_cast>&>(&netlist_utils::get_next_sequential_gates), py::arg("gate"), @@ -114,6 +136,26 @@ namespace hal :rtype: list[hal_py.Gate] )"); + py_netlist_utils.def("get_next_sequential_gates", + py::overload_cast&>(&netlist_utils::get_next_sequential_gates), + py::arg("gate"), + py::arg("get_successors"), + py::arg("allowed_pin_types"), + R"( + Find all sequential predecessors or successors of a gate. + Traverses combinational logic of all input or output nets until sequential gates are found. + The result may include the provided gate itself. + The use of the this cached version is recommended in case of extensive usage to improve performance. + The cache will be filled by this function and should initially be provided empty. + Different caches for different values of get_successors shall be used. + + :param hal_py.Gate gate: The initial gate. + :param bool get_successors: If true, sequential successors are returned, otherwise sequential predecessors are returned. + :param list[hal_py.PinType] allowed_pin_types: Only returns gates which are reached through one of the pins whose types are in this vector. + :returns: All sequential successors or predecessors of the gate. + :rtype: list[hal_py.Gate] + )"); + py_netlist_utils.def("get_next_sequential_gates", py::overload_cast(&netlist_utils::get_next_sequential_gates), py::arg("gate"), py::arg("get_successors"), R"( Find all sequential predecessors or successors of a gate. Traverses combinational logic of all input or output nets until sequential gates are found. @@ -125,6 +167,27 @@ namespace hal :rtype: list[hal_py.Gate] )"); + py_netlist_utils.def("get_next_sequential_gates", + py::overload_cast&, std::unordered_map>&>(&netlist_utils::get_next_sequential_gates), + py::arg("net"), + py::arg("get_successors"), + py::arg("allowed_pin_types"), + py::arg("cache"), + R"( + Find all sequential predecessors or successors of a net. + Traverses combinational logic of all input or output nets until sequential gates are found. + The use of the cache is recommended in case of extensive usage of this function. + The cache will be filled by this function and should initially be provided empty. + Different caches for different values of get_successors shall be used. + + :param hal_py.Net net: The initial net. + :param bool get_successors: If true, sequential successors are returned, otherwise sequential predecessors are returned. + :param list[hal_py.PinType] allowed_pin_types: Only returns gates which are reached through one of the pins whose types are in this vector. + :param dict[int, list[hal_py.Gate]] cache: The cache. + :returns: All sequential successors or predecessors of the net. + :rtype: list[hal_py.Net] + )"); + py_netlist_utils.def("get_next_sequential_gates", py::overload_cast>&>(&netlist_utils::get_next_sequential_gates), py::arg("net"), @@ -144,6 +207,25 @@ namespace hal :rtype: list[hal_py.Net] )"); + py_netlist_utils.def("get_next_sequential_gates", + py::overload_cast&>(&netlist_utils::get_next_sequential_gates), + py::arg("net"), + py::arg("get_successors"), + py::arg("allowed_pin_types"), + R"( + Find all sequential predecessors or successors of a net. + Traverses combinational logic of all input or output nets until sequential gates are found. + The use of the cache is recommended in case of extensive usage of this function. + The cache will be filled by this function and should initially be provided empty. + Different caches for different values of get_successors shall be used. + + :param hal_py.Net net: The initial net. + :param bool get_successors: If true, sequential successors are returned, otherwise sequential predecessors are returned. + :param list[hal_py.PinType] allowed_pin_types: Only returns gates which are reached through one of the pins whose types are in this vector. + :returns: All sequential successors or predecessors of the net. + :rtype: list[hal_py.Net] + )"); + py_netlist_utils.def("get_next_sequential_gates", py::overload_cast(&netlist_utils::get_next_sequential_gates), py::arg("net"), py::arg("get_successors"), R"( Find all sequential predecessors or successors of a net. Traverses combinational logic of all input or output nets until sequential gates are found. @@ -319,6 +401,37 @@ namespace hal :rtype: bool )"); + py_netlist_utils.def( + "find_carry_chains", + [](const Netlist* nl, const std::string input_pin, const std::string output_pin) + -> std::vector> { + auto res = netlist_utils::find_carry_chains(nl, input_pin, output_pin); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "error encountered while detecting gate chain:\n{}", res.get_error().get()); + return {}; + } + }, + py::arg("nl"), + py::arg("input_pin") = std::string(), + py::arg("output_pin") = std::string(), + R"( + Identify carry chains in a given netlist. The user has to provide the input pin of the carry + gates and output pin that will go into the subsequent gate. + Note that this function relies on having annotated carry gates in the netlist to work. + + + :param hal_py.Netlist nl: The netlist to detect the carry chains in. + :param list[hal_py.GatePin] input_pin: The input through which the gates must be connected. + :param set[hal_py.GatePin] output_pin: The output through which the gates must be connected. + @returns A vector of vector of gates that form a chain on success, an error otherwise. + :rtype: list[list[hal_py.Gate]] + )"); + py_netlist_utils.def( "get_gate_chain", [](Gate* start_gate, const std::vector& input_pins = {}, const std::vector& output_pins = {}, const std::function& filter = nullptr) diff --git a/src/python_bindings/bindings/smt.cpp b/src/python_bindings/bindings/smt.cpp index d9a43c9dc8d..cbc235c53a1 100644 --- a/src/python_bindings/bindings/smt.cpp +++ b/src/python_bindings/bindings/smt.cpp @@ -14,9 +14,18 @@ namespace hal py_smt_solver_type.value("Z3", SMT::SolverType::Z3, R"(Z3 SMT solver.)") .value("Boolector", SMT::SolverType::Boolector, R"(Boolector SMT solver.)") + .value("Bitwuzla", SMT::SolverType::Bitwuzla, R"(Bitwuzla SMT solver.)") .value("Unknown", SMT::SolverType::Unknown, R"(Unknown (unsupported) SMT solver.)") .export_values(); + py::enum_ py_smt_solver_call(py_smt, "SolverCall", R"( + Identifier for the SMT solver call type. + )"); + + py_smt_solver_call.value("Binary", SMT::SolverCall::Binary, R"(Binary SMT call.)") + .value("Library", SMT::SolverCall::Library, R"(Library SMT call.)") + .export_values(); + py::class_ py_smt_query_config(py_smt, "QueryConfig", R"( Represents the data structure to configure an SMT query. )"); @@ -399,7 +408,22 @@ namespace hal :rtype: hal_py.SMT.Result or str )"); - py_smt_solver.def("query_local", &SMT::Solver::query_local, py::arg("config"), R"( + py_smt_solver.def( + "query_local", + [](const SMT::Solver& self, const SMT::QueryConfig& config = SMT::QueryConfig()) -> std::optional { + auto res = self.query_local(config); + if (res.is_ok()) + { + return res.get(); + } + else + { + log_error("python_context", "{}", res.get_error().get()); + return std::nullopt; + } + }, + py::arg("config"), + R"( Queries a local SMT solver with the specified query configuration. :param hal_py.SMT.QueryConfig config: The SMT solver query configuration. diff --git a/src/python_bindings/bindings/subgraph_netlist_decorator.cpp b/src/python_bindings/bindings/subgraph_netlist_decorator.cpp index ab11183a31b..38b9f48bfe4 100644 --- a/src/python_bindings/bindings/subgraph_netlist_decorator.cpp +++ b/src/python_bindings/bindings/subgraph_netlist_decorator.cpp @@ -6,7 +6,10 @@ namespace hal { void subgraph_netlist_decorator_init(py::module& m) { - py::class_ py_subgraph_netlist_decorator(m, "SubgraphNetlistDecorator", R"()"); + py::class_ py_subgraph_netlist_decorator( + m, + "SubgraphNetlistDecorator", + R"(A netlist decorator that operates on an existing subgraph of the associated netlist to, e.g., copy the subgraph as a new netlist object or compute a Boolean function describing the subgraph.)"); py_subgraph_netlist_decorator.def(py::init(), py::arg("netlist"), R"( Construct new SubgraphNetlistDecorator object. @@ -16,8 +19,8 @@ namespace hal py_subgraph_netlist_decorator.def( "copy_subgraph_netlist", - [](SubgraphNetlistDecorator& self, const std::vector& subgraph_gates) -> std::shared_ptr { - auto res = self.copy_subgraph_netlist(subgraph_gates); + [](SubgraphNetlistDecorator& self, const std::vector& subgraph_gates, const bool outside_conncetions_as_global_io) -> std::shared_ptr { + auto res = self.copy_subgraph_netlist(subgraph_gates, outside_conncetions_as_global_io); if (res.is_ok()) { return std::shared_ptr(res.get()); @@ -29,18 +32,20 @@ namespace hal } }, py::arg("subgraph_gates"), + py::arg("outside_conncetions_as_global_io"), R"( Get a deep copy of a netlist subgraph including all of its gates and nets, but excluding modules and groupings. :param list[hal_py.Gate] subgraph_gates: The gates making up the subgraph that shall be copied from the netlist. + :param bool outside_conncetions_as_global_io: Option determining what nets are considered as global inout. Either only nets with no source or destination or all nets that lost any conneciton to a source or destination. :returns: The copied subgraph netlist on success, None otherwise. :rtype: hal_py.Netlist or None )"); py_subgraph_netlist_decorator.def( "copy_subgraph_netlist", - [](SubgraphNetlistDecorator& self, const Module* subgraph_module) -> std::shared_ptr { - auto res = self.copy_subgraph_netlist(subgraph_module); + [](SubgraphNetlistDecorator& self, const Module* subgraph_module, const bool outside_conncetions_as_global_io) -> std::shared_ptr { + auto res = self.copy_subgraph_netlist(subgraph_module, outside_conncetions_as_global_io); if (res.is_ok()) { return std::shared_ptr(res.get()); @@ -52,10 +57,12 @@ namespace hal } }, py::arg("subgraph_module"), + py::arg("outside_conncetions_as_global_io"), R"( Get a deep copy of a netlist subgraph including all of its gates and nets, but excluding modules and groupings. :param hal_py.Module subgraph_module: The module making up the subgraph that shall be copied from the netlist. + :param bool outside_conncetions_as_global_io: Option determining what nets are considered as global inout. Either only nets with no source or destination or all nets that lost any conneciton to a source or destination. :returns: The copied subgraph netlist on success, None otherwise. :rtype: hal_py.Netlist or None )"); diff --git a/src/python_bindings/python_bindings.cpp b/src/python_bindings/python_bindings.cpp index 7e39d491c0a..09712db6a20 100644 --- a/src/python_bindings/python_bindings.cpp +++ b/src/python_bindings/python_bindings.cpp @@ -75,6 +75,8 @@ namespace hal netlist_modification_decorator_init(m); + netlist_traversal_decorator_init(m); + log_init(m); #ifndef PYBIND11_MODULE diff --git a/src/utilities/log.cpp b/src/utilities/log.cpp index e3ef1cead5d..142f43602ab 100644 --- a/src/utilities/log.cpp +++ b/src/utilities/log.cpp @@ -45,7 +45,7 @@ namespace hal spdlog::set_error_handler([](const std::string& msg) { throw std::invalid_argument("[!] internal log error: " + msg); }); //set_format_pattern("[%c %z] [%n] [%l] %v"); - set_format_pattern("[%n] [%l] %v"); + set_format_pattern("%^[%n] [%l] %v%$"); m_default_sinks = {gui_sink, LogManager::create_stdout_sink(), LogManager::create_file_sink(m_file_path)}; } @@ -79,7 +79,7 @@ namespace hal { if (channel != "stdout") // avoid infinite recursion { - log_warning("stdout", "log channel '{}' was not registered so far, creating default channel.", channel); + log_debug("stdout", "log channel '{}' was not registered so far, creating default channel.", channel); } return add_channel(channel, m_default_sinks, "info"); } diff --git a/src/utilities/utils.cpp b/src/utilities/utils.cpp index 1df92082a17..d5b01ded9be 100644 --- a/src/utilities/utils.cpp +++ b/src/utilities/utils.cpp @@ -4,6 +4,7 @@ #include #include +#include #include #include #include @@ -122,7 +123,7 @@ namespace hal get_base_directory() / "lib64/", get_base_directory() / "lib/", }; - + for (const auto& path : path_hints) { hal::error_code ec; @@ -262,6 +263,29 @@ namespace hal return std::filesystem::path(); } + Result get_unique_temp_directory(const std::string& prefix, const u32 max_attmeps) + { + const auto tmp_dir = std::filesystem::temp_directory_path(); + + std::random_device dev; + std::mt19937 prng(dev()); + std::uniform_int_distribution rand(0); + + for (u32 i = 0; i < max_attmeps; i++) + { + std::stringstream ss; + ss << std::setw(16) << std::setfill('0') << std::hex << rand(prng); + std::filesystem::path tmp_path = tmp_dir / (prefix + ss.str()); + + if (std::filesystem::create_directories(tmp_path)) + { + return OK(tmp_path); + } + } + + return ERR("failed to create unique temporary directory path"); + } + std::string get_open_source_licenses() { return R"(pybind11 (https://github.com/pybind/pybind11): @@ -659,11 +683,11 @@ permanent authorization for you to choose that version for the Library. )"; } - Result wrapped_stoull(const std::string& s) + Result wrapped_stoull(const std::string& s, const u32 base) { try { - return OK(std::stoull(s)); + return OK(std::stoull(s, nullptr, base)); } catch (const std::invalid_argument& e) { @@ -677,11 +701,11 @@ permanent authorization for you to choose that version for the Library. return ERR("encountered unknown error"); } - Result wrapped_stoul(const std::string& s) + Result wrapped_stoul(const std::string& s, const u32 base) { try { - return OK((u32)std::stoul(s)); + return OK((u32)std::stoul(s, nullptr, base)); } catch (const std::invalid_argument& e) { @@ -695,5 +719,5 @@ permanent authorization for you to choose that version for the Library. return ERR("encountered unknown error"); } - } // namespace core_utils + } // namespace utils } // namespace hal diff --git a/tests/core/CMakeLists.txt b/tests/core/CMakeLists.txt index 8d032145f17..21822f4e78a 100644 --- a/tests/core/CMakeLists.txt +++ b/tests/core/CMakeLists.txt @@ -21,13 +21,13 @@ add_executable(runTest-plugin_manager add_executable(runTest-result result.cpp) -target_link_libraries(runTest-callback_hook pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-log pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-program_arguments pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-program_options pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-utils pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-plugin_manager pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-result pthread gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-callback_hook gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-log gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-program_arguments gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-program_options gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-utils gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-plugin_manager gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-result gtest hal::core hal::netlist test_utils) add_test(runTest-callback_hook_test ${CMAKE_BINARY_DIR}/bin/runTest-callback_hook --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) diff --git a/tests/core/utils.cpp b/tests/core/utils.cpp index 568c917afb5..015280aed97 100644 --- a/tests/core/utils.cpp +++ b/tests/core/utils.cpp @@ -1,5 +1,6 @@ #include "netlist_test_utils.h" #include "test_def.h" +#include "hal_core/utilities/finite_set.h" #include "gtest/gtest.h" #include "hal_core/utilities/log.h" @@ -8,6 +9,7 @@ #include #include #include +#include namespace hal { using namespace utils; @@ -642,4 +644,244 @@ namespace hal { TEST_END } + + /** + * Testing FiniteSet + * + * Classes: FiniteSet + */ + TEST_F(UtilsTest, check_finite_set) + { + TEST_START + { + std::vector dummy_data_16; + std::unordered_map dummy_map_16; + for (u32 i = 0; i < 16; i++) + { + dummy_data_16.push_back(std::to_string(i)); + dummy_map_16[std::to_string(i)] = i; + } + FiniteSet set_16(&dummy_data_16, &dummy_map_16); + + std::vector dummy_data_64; + std::unordered_map dummy_map_64; + for (u32 i = 0; i < 64; i++) + { + dummy_data_64.push_back(std::to_string(i)); + dummy_map_64[std::to_string(i)] = i; + } + FiniteSet set_64(&dummy_data_64, &dummy_map_64); + + std::vector dummy_data_128; + std::unordered_map dummy_map_128; + for (u32 i = 0; i < 128; i++) + { + dummy_data_128.push_back(std::to_string(i)); + dummy_map_128[std::to_string(i)] = i; + } + FiniteSet set_128(&dummy_data_128, &dummy_map_128); + + ASSERT_EQ(set_16.m_size, 16); + ASSERT_EQ(set_16.m_content.size(), 1); + + ASSERT_EQ(set_64.m_size, 64); + ASSERT_EQ(set_64.m_content.size(), 1); + + ASSERT_EQ(set_128.m_size, 128); + ASSERT_EQ(set_128.m_content.size(), 2); + + EXPECT_FALSE(set_16.contains(10)); + EXPECT_EQ(set_16.m_content.at(0), (u64)0); + EXPECT_TRUE(set_16.insert(10)); + EXPECT_TRUE(set_16.contains(10)); + EXPECT_EQ(set_16.m_content.at(0), ((u64)1) << 10); + EXPECT_TRUE(set_16.erase(10)); + EXPECT_FALSE(set_16.contains(10)); + EXPECT_EQ(set_16.m_content.at(0), (u64)0); + EXPECT_TRUE(set_16.insert("10")); + EXPECT_TRUE(set_16.contains("10")); + EXPECT_EQ(set_16.m_content.at(0), ((u64)1) << 10); + EXPECT_TRUE(set_16.erase("10")); + EXPECT_FALSE(set_16.contains("10")); + EXPECT_EQ(set_16.m_content.at(0), (u64)0); + + EXPECT_FALSE(set_64.contains(63)); + EXPECT_EQ(set_64.m_content.at(0), (u64)0); + EXPECT_TRUE(set_64.insert(63)); + EXPECT_TRUE(set_64.contains(63)); + EXPECT_EQ(set_64.m_content.at(0), ((u64)1) << 63); + EXPECT_TRUE(set_64.erase(63)); + EXPECT_FALSE(set_64.contains(63)); + EXPECT_EQ(set_64.m_content.at(0), (u64)0); + EXPECT_TRUE(set_64.insert("63")); + EXPECT_TRUE(set_64.contains("63")); + EXPECT_EQ(set_64.m_content.at(0), ((u64)1) << 63); + EXPECT_TRUE(set_64.erase("63")); + EXPECT_FALSE(set_64.contains("63")); + EXPECT_EQ(set_64.m_content.at(0), (u64)0); + + EXPECT_FALSE(set_128.contains(63)); + EXPECT_EQ(set_128.m_content.at(0), (u64)0); + EXPECT_EQ(set_128.m_content.at(1), (u64)0); + EXPECT_TRUE(set_128.insert(63)); + EXPECT_TRUE(set_128.contains(63)); + EXPECT_EQ(set_128.m_content.at(0), ((u64)1) << 63); + EXPECT_EQ(set_128.m_content.at(1), (u64)0); + EXPECT_TRUE(set_128.erase(63)); + EXPECT_FALSE(set_128.contains(63)); + EXPECT_EQ(set_128.m_content.at(0), (u64)0); + EXPECT_EQ(set_128.m_content.at(1), (u64)0); + EXPECT_TRUE(set_128.insert("63")); + EXPECT_TRUE(set_128.contains("63")); + EXPECT_EQ(set_128.m_content.at(0), ((u64)1) << 63); + EXPECT_EQ(set_128.m_content.at(1), (u64)0); + EXPECT_TRUE(set_128.erase("63")); + EXPECT_FALSE(set_128.contains("63")); + EXPECT_EQ(set_128.m_content.at(0), (u64)0); + EXPECT_EQ(set_128.m_content.at(1), (u64)0); + + EXPECT_FALSE(set_128.contains(100)); + EXPECT_EQ(set_128.m_content.at(0), (u64)0); + EXPECT_EQ(set_128.m_content.at(1), (u64)0); + EXPECT_TRUE(set_128.insert(100)); + EXPECT_TRUE(set_128.contains(100)); + EXPECT_EQ(set_128.m_content.at(0), (u64)0); + EXPECT_EQ(set_128.m_content.at(1), ((u64)1) << 36); + EXPECT_TRUE(set_128.erase(100)); + EXPECT_FALSE(set_128.contains(100)); + EXPECT_EQ(set_128.m_content.at(0), (u64)0); + EXPECT_EQ(set_128.m_content.at(1), (u64)0); + EXPECT_TRUE(set_128.insert("100")); + EXPECT_TRUE(set_128.contains("100")); + EXPECT_EQ(set_128.m_content.at(0), (u64)0); + EXPECT_EQ(set_128.m_content.at(1), ((u64)1) << 36); + EXPECT_TRUE(set_128.erase("100")); + EXPECT_FALSE(set_128.contains("100")); + EXPECT_EQ(set_128.m_content.at(0), (u64)0); + EXPECT_EQ(set_128.m_content.at(1), (u64)0); + + EXPECT_TRUE(set_128.insert("10")); + EXPECT_TRUE(set_128.insert("30")); + EXPECT_TRUE(set_128.insert("50")); + EXPECT_TRUE(set_128.insert("70")); + EXPECT_TRUE(set_128.insert("90")); + + EXPECT_EQ(set_128.get_contained(), std::vector({"10", "30", "50", "70", "90"})); + } + { + std::vector dummy_data; + std::unordered_map dummy_map; + for (u32 i = 0; i < 128; i++) + { + dummy_data.push_back(std::to_string(i)); + dummy_map[std::to_string(i)] = i; + } + FiniteSet set_a(&dummy_data, &dummy_map); + FiniteSet set_b(&dummy_data, &dummy_map); + + EXPECT_TRUE(set_a == set_b); + + EXPECT_EQ(set_a.m_content.at(0), (u64)0); + EXPECT_EQ(set_a.m_content.at(1), (u64)0); + EXPECT_EQ(set_b.m_content.at(0), (u64)0); + EXPECT_EQ(set_b.m_content.at(1), (u64)0); + + set_a.insert(10); + set_b.insert(10); + + EXPECT_EQ(set_a.m_content.at(0), ((u64)1) << 10); + EXPECT_EQ(set_a.m_content.at(1), (u64)0); + EXPECT_EQ(set_b.m_content.at(0), ((u64)1) << 10); + EXPECT_EQ(set_b.m_content.at(1), (u64)0); + + EXPECT_TRUE(set_a == set_b); + EXPECT_TRUE(set_a.is_subset(set_b)); + EXPECT_TRUE(set_b.is_subset(set_a)); + EXPECT_TRUE(set_a.is_superset(set_b)); + EXPECT_TRUE(set_b.is_superset(set_a)); + EXPECT_FALSE(set_a.is_disjoint(set_b)); + EXPECT_FALSE(set_b.is_disjoint(set_a)); + + set_b.insert(70); + + EXPECT_EQ(set_a.m_content.at(0), ((u64)1) << 10); + EXPECT_EQ(set_a.m_content.at(1), (u64)0); + EXPECT_EQ(set_b.m_content.at(0), ((u64)1) << 10); + EXPECT_EQ(set_b.m_content.at(1), ((u64)1) << 6); + + EXPECT_FALSE(set_a == set_b); + EXPECT_TRUE(set_a.is_subset(set_b)); + EXPECT_FALSE(set_b.is_subset(set_a)); + EXPECT_FALSE(set_a.is_superset(set_b)); + EXPECT_TRUE(set_b.is_superset(set_a)); + EXPECT_FALSE(set_a.is_disjoint(set_b)); + EXPECT_FALSE(set_b.is_disjoint(set_a)); + + EXPECT_TRUE(set_b.erase(10)); + + EXPECT_FALSE(set_a == set_b); + EXPECT_FALSE(set_a.is_subset(set_b)); + EXPECT_FALSE(set_b.is_subset(set_a)); + EXPECT_FALSE(set_a.is_superset(set_b)); + EXPECT_FALSE(set_b.is_superset(set_a)); + EXPECT_TRUE(set_a.is_disjoint(set_b)); + EXPECT_TRUE(set_b.is_disjoint(set_a)); + } + { + std::vector dummy_data; + std::unordered_map dummy_map; + for (u32 i = 0; i < 128; i++) + { + dummy_data.push_back(std::to_string(i)); + dummy_map[std::to_string(i)] = i; + } + FiniteSet set_a(&dummy_data, &dummy_map); + FiniteSet set_b(&dummy_data, &dummy_map); + FiniteSet set_c(&dummy_data, &dummy_map); + FiniteSet set_d(&dummy_data, &dummy_map); + + set_a.insert(10); + set_b.insert(10); + set_b.insert(70); + set_c.insert(70); + set_d.insert(70); + set_d.insert(110); + + auto res_intersect = set_a & set_b; + EXPECT_TRUE(set_a.contains(10)); + EXPECT_FALSE(set_a.contains(70)); + EXPECT_TRUE(set_b.contains(10)); + EXPECT_TRUE(set_b.contains(70)); + EXPECT_TRUE(res_intersect.contains(10)); + EXPECT_FALSE(res_intersect.contains(70)); + + auto res_union = set_a | set_c; + EXPECT_TRUE(set_a.contains(10)); + EXPECT_FALSE(set_a.contains(70)); + EXPECT_FALSE(set_c.contains(10)); + EXPECT_TRUE(set_c.contains(70)); + EXPECT_TRUE(res_union.contains(10)); + EXPECT_TRUE(res_union.contains(70)); + + auto res_difference_1 = set_b - set_d; + auto res_difference_2 = set_d - set_b; + auto res_sym_difference = set_b ^ set_d; + EXPECT_TRUE(set_b.contains(10)); + EXPECT_TRUE(set_b.contains(70)); + EXPECT_FALSE(set_b.contains(110)); + EXPECT_FALSE(set_d.contains(10)); + EXPECT_TRUE(set_d.contains(70)); + EXPECT_TRUE(set_d.contains(110)); + EXPECT_TRUE(res_difference_1.contains(10)); + EXPECT_FALSE(res_difference_1.contains(70)); + EXPECT_FALSE(res_difference_1.contains(110)); + EXPECT_FALSE(res_difference_2.contains(10)); + EXPECT_FALSE(res_difference_2.contains(70)); + EXPECT_TRUE(res_difference_2.contains(110)); + EXPECT_TRUE(res_sym_difference.contains(10)); + EXPECT_FALSE(res_sym_difference.contains(70)); + EXPECT_TRUE(res_sym_difference.contains(110)); + } + TEST_END + } } //namespace hal diff --git a/tests/netlist/CMakeLists.txt b/tests/netlist/CMakeLists.txt index b82e4441811..04878ba9cb1 100644 --- a/tests/netlist/CMakeLists.txt +++ b/tests/netlist/CMakeLists.txt @@ -1,5 +1,10 @@ include_directories(${gtest_SOURCE_DIR}/include ${gtest_SOURCE_DIR} ${CMAKE_SOURCE_DIR}/include ${CMAKE_SOURCE_DIR}/tests) +if(BITWUZLA_FOUND) + add_compile_definitions(BITWUZLA_LIBRARY) + message(STATUS "found bitwuzla, adding define for solver query to bitwuzla library") +endif() + add_executable(runTest-netlist netlist.cpp) add_executable(runTest-gate_type gate_type.cpp) add_executable(runTest-gate gate.cpp) @@ -16,21 +21,21 @@ add_executable(runTest-gate_library gate_library.cpp) add_executable(runTest-netlist_utils netlist_utils.cpp) add_executable(runTest-decorators decorators.cpp) -target_link_libraries(runTest-netlist pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-gate_type pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-gate pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-net pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-data_container pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-endpoint pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-module pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-grouping pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-netlist_factory pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-gate_library_manager pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-netlist_serializer pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-boolean_function pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-gate_library pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-netlist_utils pthread gtest hal::core hal::netlist test_utils) -target_link_libraries(runTest-decorators pthread gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-netlist gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-gate_type gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-gate gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-net gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-data_container gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-endpoint gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-module gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-grouping gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-netlist_factory gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-gate_library_manager gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-netlist_serializer gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-boolean_function gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-gate_library gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-netlist_utils gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-decorators gtest hal::core hal::netlist test_utils) add_test(runTest-netlist ${CMAKE_BINARY_DIR}/bin/runTest-netlist --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) add_test(runTest-gate_type ${CMAKE_BINARY_DIR}/bin/runTest-gate_type --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) diff --git a/tests/netlist/boolean_function.cpp b/tests/netlist/boolean_function.cpp index dc2e25b1537..07b2ad03aeb 100644 --- a/tests/netlist/boolean_function.cpp +++ b/tests/netlist/boolean_function.cpp @@ -308,7 +308,7 @@ namespace hal { { auto res = BooleanFunction::Slice(_A.clone(), i1.clone(), i1.clone(), 1); ASSERT_TRUE(res.is_ok()); - EXPECT_TRUE(res.get().simplify().has_constant_value(0)); + EXPECT_TRUE(res.get().simplify().has_constant_value(1)); } { auto res = BooleanFunction::Slice(_A.clone(), i2.clone(), i2.clone(), 1); @@ -1648,4 +1648,29 @@ namespace hal { } } } + +#ifdef BITWUZLA_LIBRARY + TEST(BooleanFunction, BitwuzlaTest) { + const auto a = BooleanFunction::Var("a"), + _1 = BooleanFunction::Const(1, 1); + + const auto boolean_function = a | _1; + const auto constraint = BooleanFunction::Eq(a.clone(), boolean_function.clone(), 1); + + + auto s = SMT::Solver(); + auto config = SMT::QueryConfig(); + auto s_type = SMT::SolverType::Bitwuzla; + auto s_call = SMT::SolverCall::Library; + config.with_solver(s_type).with_call(s_call).with_model_generation(); + auto result = s.with_constraint(SMT::Constraint(constraint.get().clone())).query(config); + + + + ASSERT_TRUE(result.is_ok()); + auto solver_result = result.get(); + EXPECT_EQ(solver_result.type, SMT::SolverResultType::Sat); + } +#endif + } //namespace hal diff --git a/tests/netlist/net.cpp b/tests/netlist/net.cpp index 678e590989a..8fe0af12aba 100644 --- a/tests/netlist/net.cpp +++ b/tests/netlist/net.cpp @@ -451,7 +451,8 @@ namespace hal { Net* test_net = nl->create_net("test_net"); ASSERT_NE(test_net, nullptr); EXPECT_TRUE(test_net->get_destinations().empty()); - } + EXPECT_EQ(test_net->get_num_of_destinations(), 0); + } { // add destination to net auto nl = test_utils::create_empty_netlist(); @@ -461,9 +462,11 @@ namespace hal { Gate* test_gate = nl->create_gate(nl->get_gate_library()->get_gate_type_by_name("BUF"), "test_gate"); ASSERT_NE(test_gate, nullptr); EXPECT_TRUE(test_net->get_destinations().empty()); + EXPECT_EQ(test_net->get_num_of_destinations(), 0); EXPECT_NE(test_net->add_destination(test_gate, "I"), nullptr); ASSERT_EQ(test_net->get_destinations().size(), 1); EXPECT_EQ(test_net->get_destinations().at(0), test_gate->get_fan_in_endpoint("I")); + EXPECT_EQ(test_net->get_num_of_destinations(), 1); } { // get multiple destinations (no filter applied) @@ -478,6 +481,7 @@ namespace hal { EXPECT_NE(test_net->add_destination(test_gate, "DATA_IN(2)"), nullptr); EXPECT_NE(test_net->add_destination(test_gate, "DATA_IN(3)"), nullptr); EXPECT_EQ(test_net->get_destinations(), std::vector(test_gate->get_fan_in_endpoints())); + EXPECT_EQ(test_net->get_num_of_destinations(), 4); } { // get multiple destinations (filter applied) @@ -495,6 +499,7 @@ namespace hal { EXPECT_NE(test_net->add_destination(test_gate_2, "DATA_IN(1)"), nullptr); EXPECT_EQ(test_net->get_destinations([](const Endpoint* ep){return ep->get_gate()->get_name() == "test_gate_1";}), std::vector(test_gate_1->get_fan_in_endpoints())); + EXPECT_EQ(test_net->get_num_of_destinations([](const Endpoint* ep){return ep->get_gate()->get_name() == "test_gate_1";} ), 2); } { // remove a destination by specifying gate and pin diff --git a/tests/netlist/netlist_utils.cpp b/tests/netlist/netlist_utils.cpp index c038cacf7f9..a09c0be85ea 100644 --- a/tests/netlist/netlist_utils.cpp +++ b/tests/netlist/netlist_utils.cpp @@ -102,20 +102,21 @@ namespace hal // -- remove the net test_nl->delete_net(multi_src_net); } - { - // The output net has no source - // -- create such a net - Net* no_src_net = test_nl->create_net("muli_src_net"); + // { + // // NOTE: while a net without a source that is not a global input net should not occur in a perfect netlist, this proved to be unrealistic + // // The output net has no source + // // -- create such a net + // Net* no_src_net = test_nl->create_net("muli_src_net"); - const std::vector subgraph_gates({gate_0, gate_3}); - const Net* output_net = no_src_net; - - auto res = netlist_utils::get_subgraph_function(output_net, subgraph_gates); - ASSERT_TRUE(res.is_error()); - - // -- remove the net - test_nl->delete_net(no_src_net); - } + // const std::vector subgraph_gates({gate_0, gate_3}); + // const Net* output_net = no_src_net; + + // auto res = netlist_utils::get_subgraph_function(output_net, subgraph_gates); + // ASSERT_TRUE(res.is_error()); + + // // -- remove the net + // test_nl->delete_net(no_src_net); + // } { // A net in between has multiple sources // -- add a source to net 30 temporarily diff --git a/tests/netlist_parser/CMakeLists.txt b/tests/netlist_parser/CMakeLists.txt index 57d5e9bfc16..46ca1cd4d7b 100644 --- a/tests/netlist_parser/CMakeLists.txt +++ b/tests/netlist_parser/CMakeLists.txt @@ -1,7 +1,7 @@ include_directories(${gtest_SOURCE_DIR}/include ${gtest_SOURCE_DIR} ${CMAKE_SOURCE_DIR}/include ${CMAKE_SOURCE_DIR}/tests) add_executable(runTest-netlist_parser_manager netlist_parser_manager.cpp) -target_link_libraries(runTest-netlist_parser_manager pthread gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-netlist_parser_manager gtest hal::core hal::netlist test_utils) add_test(runTest-netlist_parser_manager ${CMAKE_BINARY_DIR}/bin/runTest-netlist_parser_manager --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) if(${CMAKE_BUILD_TYPE} STREQUAL "Debug") diff --git a/tests/netlist_writer/CMakeLists.txt b/tests/netlist_writer/CMakeLists.txt index 9f03c243673..f88f9e5fe08 100644 --- a/tests/netlist_writer/CMakeLists.txt +++ b/tests/netlist_writer/CMakeLists.txt @@ -1,7 +1,7 @@ include_directories(${gtest_SOURCE_DIR}/include ${gtest_SOURCE_DIR} ${CMAKE_SOURCE_DIR}/include ${CMAKE_SOURCE_DIR}/tests) add_executable(runTest-netlist_writer_manager netlist_writer_manager.cpp) -target_link_libraries(runTest-netlist_writer_manager pthread gtest hal::core hal::netlist test_utils) +target_link_libraries(runTest-netlist_writer_manager gtest hal::core hal::netlist test_utils) add_test(runTest-netlist_writer_manager ${CMAKE_BINARY_DIR}/bin/runTest-netlist_writer_manager --gtest_output=xml:${CMAKE_BINARY_DIR}/gtestresults-runBasicTests.xml) if(${CMAKE_BUILD_TYPE} STREQUAL "Debug") diff --git a/tests/test_utils/CMakeLists.txt b/tests/test_utils/CMakeLists.txt index 7658a98e238..366c7aa2b7e 100644 --- a/tests/test_utils/CMakeLists.txt +++ b/tests/test_utils/CMakeLists.txt @@ -15,7 +15,7 @@ configure_file(${CMAKE_CURRENT_SOURCE_DIR}/gate_libraries/test.hgl ${CMAKE_BINAR target_include_directories(test_utils PUBLIC "${CMAKE_CURRENT_SOURCE_DIR}/include") -target_link_libraries(test_utils pthread gtest hal::core hal::netlist) +target_link_libraries(test_utils gtest hal::core hal::netlist) if(${CMAKE_BUILD_TYPE} STREQUAL "Debug") add_sanitizers(test_utils)